-
Notifications
You must be signed in to change notification settings - Fork 1
/
Copy pathsearchindex.js
1 lines (1 loc) · 165 KB
/
searchindex.js
1
Search.setIndex({"alltitles": {"(True) Check (check and check_true)": [[32, "true-check-check-and-check-true"]], "0.52.0 - 2016-01-29": [[59, "release-0-52-0"]], "0.53.0 - 2016-02-06": [[59, "release-0-53-0"]], "0.54.0 - 2016-02-06": [[59, "release-0-54-0"]], "0.56.0 - 2016-02-07": [[59, "release-0-56-0"]], "0.57.0 - 2016-02-08": [[59, "release-0-57-0"]], "0.58.0 - 2016-02-11": [[59, "release-0-58-0"]], "0.59.0 - 2016-02-13": [[59, "release-0-59-0"]], "0.60.0 - 2016-02-15": [[59, "release-0-60-0"]], "0.60.1 - 2016-02-16": [[59, "release-0-60-1"]], "0.61.0 - 2016-02-23": [[59, "release-0-61-0"]], "0.62.0 - 2016-02-27": [[59, "release-0-62-0"]], "0.62.1 - 2016-02-28": [[59, "release-0-62-1"]], "0.63.0 - 2016-03-02": [[59, "release-0-63-0"]], "0.64.0 - 2016-03-03": [[59, "release-0-64-0"]], "0.65.0 - 2016-03-13": [[59, "release-0-65-0"]], "0.66.0 - 2016-04-03": [[59, "release-0-66-0"]], "0.67.0 - 2016-08-08": [[59, "release-0-67-0"]], "0.68.0 - 2016-09-03": [[59, "release-0-68-0"]], "0.68.1 - 2016-09-03": [[59, "release-0-68-1"]], "0.69.0 - 2016-09-09": [[59, "release-0-69-0"]], "0.70.0 - 2016-10-13": [[59, "release-0-70-0"]], "0.71.0 - 2016-10-20": [[59, "release-0-71-0"]], "1.0.0 - 2016-11-22": [[59, "release-1-0-0"]], "1.1.1 - 2016-12-08": [[59, "release-1-1-1"]], "1.2.0 - 2016-12-19": [[59, "release-1-2-0"]], "1.3.0 - 2017-01-06": [[59, "release-1-3-0"]], "1.3.1 - 2017-01-17": [[59, "release-1-3-1"]], "1.4.0 - 2017-02-05": [[59, "release-1-4-0"]], "2.0.0 - 2017-02-21": [[59, "release-2-0-0"]], "2.0.1 - 2017-07-10": [[59, "release-2-0-1"]], "2.1.0 - 2017-07-19": [[59, "release-2-1-0"]], "2.1.1 - 2017-07-19": [[59, "release-2-1-1"]], "2.2.0 - 2017-09-29": [[59, "release-2-2-0"]], "2.3.0 - 2017-12-19": [[59, "release-2-3-0"]], "2.4.0 - 2018-01-12": [[59, "release-2-4-0"]], "2.4.1 - 2018-01-16": [[59, "release-2-4-1"]], "2.4.2 - 2018-01-20": [[59, "release-2-4-2"]], "2.4.3 - 2018-01-24": [[59, "release-2-4-3"]], "3.0.0 - 2018-02-12": [[59, "release-3-0-0"]], "3.0.1 - 2018-02-19": [[59, "release-3-0-1"]], "3.0.2 - 2018-02-22": [[59, "release-3-0-2"]], "3.0.3 - 2018-04-22": [[59, "release-3-0-3"]], "3.1.0 - 2018-04-27": [[59, "release-3-1-0"]], "3.2.0 - 2018-05-07": [[59, "release-3-2-0"]], "3.3.0 - 2018-05-24": [[59, "release-3-3-0"]], "3.4.0 - 2018-05-31": [[59, "release-3-4-0"]], "3.5.0 - 2018-06-04": [[59, "release-3-5-0"]], "3.6.0 - 2018-06-19": [[59, "release-3-6-0"]], "3.6.1 - 2018-06-20": [[59, "release-3-6-1"]], "3.6.2 - 2018-06-21": [[59, "release-3-6-2"]], "3.7.0 - 2018-07-21": [[59, "release-3-7-0"]], "3.8.0 - 2018-08-26": [[59, "release-3-8-0"]], "3.9.0 - 2018-10-11": [[59, "release-3-9-0"]], "4.0.0 - 2018-10-22": [[59, "release-4-0-0"]], "4.0.1 - 2018-10-23": [[59, "release-4-0-1"]], "4.0.2 - 2018-10-25": [[59, "release-4-0-2"]], "4.0.3 - 2018-11-02": [[59, "release-4-0-3"]], "4.0.4 - 2018-11-05": [[59, "release-4-0-4"]], "4.0.5 - 2018-11-07": [[59, "release-4-0-5"]], "4.0.6 - 2018-11-15": [[59, "release-4-0-6"]], "4.0.7 - 2018-11-20": [[59, "release-4-0-7"]], "4.0.8 - 2018-12-04": [[59, "release-4-0-8"]], "4.1.0 - 2019-09-29": [[59, "release-4-1-0"]], "4.2.0 - 2019-10-12": [[59, "release-4-2-0"]], "4.3.0 - 2019-11-30": [[59, "release-4-3-0"]], "4.4.0 - 2020-03-26": [[59, "release-4-4-0"]], "4.5.0 - 2021-05-21": [[59, "release-4-5-0"]], "4.6.0 - 2021-10-25": [[59, "release-4-6-0"]], "4.7.0 - 2023-04-23": [[59, "release-4-7-0"]], "5.0.0.dev1 - PRE-RELEASE - 2024-07-19": [[59, "dev1-pre-release-2024-07-19"]], "5.0.0.dev2 - PRE-RELEASE - 2024-08-07": [[59, "dev2-pre-release-2024-08-07"]], "5.0.0.dev3 - PRE-RELEASE - 2024-08-15": [[59, "dev3-pre-release-2024-08-15"]], "5.0.0.dev4 - PRE-RELEASE - 2024-12-11": [[59, "dev4-pre-release-2024-12-11"]], "5.0.0.dev5 - PRE-RELEASE - 2025-01-13 (latest)": [[59, "dev5-pre-release-2025-01-13-latest"]], "A Code Example": [[16, "a-code-example"]], "API": [[63, "api"]], "AXI DMA": [[48, "axi-dma"]], "Acting on Failing Checks": [[32, "acting-on-failing-checks"]], "Adding Custom Command Line Arguments": [[57, "adding-custom-command-line-arguments"]], "Adding a Third Interface": [[7, "adding-a-third-interface"]], "Advanced vs Basic BFMs": [[18, "advanced-vs-basic-bfms"]], "Architecture": [[32, "architecture"], [55, "architecture"]], "Array": [[48, "array"]], "Array and AXI4 Stream Verification Components": [[48, "array-and-axi4-stream-verification-components"]], "Attribute example": [[57, "attribute-example"]], "Attributes": [[57, "attributes"]], "BFM Interaction is Just Like Emailing": [[18, "bfm-interaction-is-just-like-emailing"]], "Background": [[16, "background"]], "Basic Message Passing": [[39, "basic-message-passing"]], "Blocking subscribers": [[39, "blocking-subscribers"]], "Blog": [[29, "blog"]], "Breaking Changes": [[59, "breaking-changes"], [59, "id5"]], "Bug Fixes": [[59, "bug-fixes"], [59, "id4"], [59, "id6"], [59, "id11"]], "Building Spaghetti Towers": [[7, "building-spaghetti-towers"]], "Building the Testbench": [[7, "building-the-testbench"]], "Builtins": [[50, "builtins"], [50, "id2"]], "Bus Master VCI": [[65, "bus-master-vci"]], "Can It Be Made Simpler?": [[18, "can-it-be-made-simpler"]], "Chat with VUnit Users and Developers": [[5, "chat-with-vunit-users-and-developers"]], "Check": [[32, "check"], [48, "check"]], "Check Library User Guide": [[32, "check-library-user-guide"]], "Check Location": [[32, "check-location"]], "Check Types": [[32, "check-types"]], "Checker Creation": [[32, "checker-creation"]], "Close, but No Cigar": [[26, "close-but-no-cigar"], [41, "close-but-no-cigar"]], "Code coverage": [[40, "code-coverage"]], "Coding Style": [[40, "coding-style"]], "Command Line Interface": [[38, "command-line-interface"]], "Communication": [[50, "communication"]], "Communication Library User Guide": [[39, "communication-library-user-guide"]], "Communication library": [[48, "communication-library"]], "Compilation Options": [[56, "compilation-options"]], "Composite generics": [[48, "composite-generics"]], "Configurations": [[57, "configurations"]], "Containers and/or Virtual Machines": [[33, "containers-and-or-virtual-machines"]], "Continous Integration": [[40, "continous-integration"]], "Continuous Integration With VUnit Action in 10 Lines of Code": [[23, "continuous-integration-with-vunit-action-in-10-lines-of-code"]], "Contributing": [[40, "contributing"]], "Controlling What Test Cases to Run": [[60, "controlling-what-test-cases-to-run"]], "Copyright": [[40, "copyright"]], "Counting Errors with VUnit Logging/Check Libraries": [[60, "counting-errors-with-vunit-logging-check-libraries"]], "Creating an Email Account": [[18, "creating-an-email-account"]], "Credits and License": [[0, "credits-and-license"]], "Customizing existing images": [[33, "customizing-existing-images"]], "Data Types User Guide": [[47, "data-types-user-guide"]], "Debugging": [[39, "debugging"]], "Deferred Actor Creation": [[39, "deferred-actor-creation"]], "Dependencies": [[40, "dependencies"]], "Deprecated APIs": [[39, "deprecated-apis"]], "Deprecated Interfaces": [[55, "deprecated-interfaces"]], "Deprecations": [[59, "deprecations"], [59, "id7"], [59, "id12"]], "Disabled logs": [[55, "disabled-logs"]], "Distributed Testbenches": [[60, "distributed-testbenches"]], "Documentation": [[59, "documentation"], [59, "id13"]], "Education": [[61, "education"]], "Enable Your Simulator to Handle Complex Top-Level Generics": [[13, "enable-your-simulator-to-handle-complex-top-level-generics"]], "Environment Variables": [[38, "environment-variables"]], "Equality Check (check_equal)": [[32, "equality-check-check-equal"]], "Example": [[55, "id1"]], "Example Session": [[38, "example-session"]], "Example:": [[55, "example"]], "Examples": [[48, "examples"]], "Exercise": [[26, null], [41, null]], "External Logging Framework Integration": [[55, "external-logging-framework-integration"]], "External VHDL API": [[47, "external-vhdl-api"]], "FAQ What is VUnit\u2019s Relation to Other Verification Frameworks?": [[24, "faq-what-is-vunit-s-relation-to-other-verification-frameworks"]], "False Check (check_false)": [[32, "false-check-check-false"]], "Features": [[59, "features"], [59, "id2"], [59, "id3"], [59, "id8"], [59, "id14"]], "Final Words": [[27, "final-words"]], "Fooling the Parser": [[32, "fooling-the-parser"]], "For VUnit Developers": [[53, "for-vunit-developers"]], "Formatting": [[55, "formatting"]], "Free and Open Source Verification with VUnit and GHDL": [[3, "free-and-open-source-verification-with-vunit-and-ghdl"]], "Generating tests": [[48, "generating-tests"]], "Getting Started": [[0, "getting-started"]], "GitHub Actions": [[36, "github-actions"]], "HDL Libraries": [[50, "hdl-libraries"]], "Hook example": [[57, "hook-example"]], "Identity Basics": [[51, "identity-basics"]], "Identity Package": [[51, "id-user-guide"]], "Implication Check (check_implication)": [[32, "implication-check-check-implication"]], "Implications on Testbench Design": [[7, "implications-on-testbench-design"]], "Improved Support for VHDL Configurations and OSVVM": [[28, "improved-support-for-vhdl-configurations-and-osvvm"]], "Improving VHDL Testbench Design with Message Passing": [[7, "improving-vhdl-testbench-design-with-message-passing"]], "Incisive irun": [[38, "incisive-irun"]], "Index": [[49, "index"]], "Installing": [[53, "installing"]], "Introducing Message Passing": [[7, "introducing-message-passing"]], "Introduction": [[26, "introduction"], [27, "introduction"], [32, "introduction"], [34, "introduction"], [39, "introduction"], [41, "introduction"], [51, "introduction"], [55, "introduction"], [60, "introduction"], [62, "introduction"]], "JSON Export": [[38, "json-export"]], "JSON-for-VHDL": [[48, "json-for-vhdl"], [50, "json-for-vhdl"]], "Language": [[53, "language"]], "Language revision selection": [[38, "language-revision-selection"]], "Library": [[58, "library"]], "LibraryList": [[58, "librarylist"]], "Limitations of Name Strings and Name Attributes": [[51, "limitations-of-name-strings-and-name-attributes"]], "Line 1": [[7, "line-1"]], "Line 2 - 3": [[7, "line-2-3"]], "Line 4 - 6": [[7, "line-4-6"]], "Line 7": [[7, "line-7"]], "Line 8 - 9": [[7, "line-8-9"]], "Log Handlers": [[55, "log-handlers"]], "Log Levels": [[55, "log-levels"]], "Log Location": [[55, "log-location"]], "Log visibility": [[55, "log-visibility"]], "Logging": [[19, "logging"], [48, "logging"]], "Logging Library User Guide": [[55, "logging-library-user-guide"]], "Logging Messages": [[39, "logging-messages"]], "Logging Passing Checks": [[32, "logging-passing-checks"]], "Main Features": [[0, "main-features"]], "Making OSVVM a Git Submodule": [[8, "making-osvvm-a-git-submodule"]], "Making releases": [[40, "making-releases"]], "Managing Checker Statistics": [[32, "managing-checker-statistics"]], "Manual setup": [[35, "manual-setup"]], "Match Check (check_match)": [[32, "match-check-check-match"]], "Memory Model": [[63, "memory-model"]], "Memory Model Utilities": [[63, "memory-model-utilities"]], "Message Format": [[32, "message-format"]], "Message Handlers and Verification Component Interfaces (VCI)": [[39, "message-handlers-and-verification-component-interfaces-vci"]], "Message Ownership": [[39, "message-ownership"]], "Message Passing": [[19, "message-passing"]], "Message Types": [[39, "message-types"]], "Mikael Wetterholm: Automated HDL Verification with VUnit": [[25, "mikael-wetterholm-automated-hdl-verification-with-vunit"]], "Minimal VUnit Testbench": [[60, "minimal-vunit-testbench"]], "Miscellaneous": [[59, "miscellaneous"], [59, "id9"], [59, "id15"]], "Mocking": [[55, "mocking"]], "Named Arguments": [[38, "named-arguments"]], "Next": [[59, "next"]], "Next Check (check_next)": [[32, "next-check-check-next"]], "Next VUnit 3.0 Preview": [[16, "next-vunit-3-0-preview"]], "No Need to Reinvent the Wheel": [[18, "no-need-to-reinvent-the-wheel"]], "Not Unknown Check (check_not_unknown)": [[32, "not-unknown-check-check-not-unknown"]], "Note On Undocumented Features": [[60, "note-on-undocumented-features"]], "OSVVM": [[50, "osvvm"]], "One-Hot Check (check_one_hot)": [[32, "one-hot-check-check-one-hot"]], "Open Source EDA": [[25, "open-source-eda"]], "Opening a Test Case in Simulator GUI": [[38, "opening-a-test-case-in-simulator-gui"]], "Organizations": [[61, "organizations"]], "Oskar Solsj\u00f6: VUnit + Bazel": [[25, "oskar-solsjo-vunit-bazel"]], "Overview": [[0, "overview"]], "Packaging non-FLOSS simulators": [[33, "packaging-non-floss-simulators"]], "Phase Gate Locks": [[27, "phase-gate-locks"]], "Phase Transition Events": [[27, "phase-transition-events"]], "Platform": [[53, "platform"]], "Point Checks": [[32, "point-checks"]], "Positional Arguments": [[38, "positional-arguments"]], "Postponed Check Actions": [[32, "postponed-check-actions"]], "Practical use cases": [[37, "practical-use-cases"]], "Pre and post simulation hooks": [[57, "pre-and-post-simulation-hooks"]], "Preprocessor": [[58, "preprocessor"]], "Print Procedure": [[55, "print-procedure"]], "Professionals": [[61, "professionals"]], "Public API": [[55, "public-api"]], "Public interface changes": [[59, "public-interface-changes"]], "Publisher/Subscriber Pattern": [[39, "publisher-subscriber-pattern"]], "Putting It All Together": [[17, "putting-it-all-together"]], "Python Interface": [[57, "python-interface"]], "Python related": [[40, "python-related"]], "Random": [[50, "random"]], "Real value checks": [[32, "real-value-checks"]], "Receiving a Reply": [[39, "receiving-a-reply"]], "Receiving an Email": [[18, "receiving-an-email"]], "Receiving an Email Reply": [[18, "receiving-an-email-reply"]], "Relation Check (check_relation)": [[32, "relation-check-check-relation"]], "Relation Checks": [[32, "relation-checks"]], "Relations with Side Effects": [[32, "relations-with-side-effects"]], "Release Notes Instructions": [[40, "release-notes-instructions"]], "Release notes": [[59, "release-notes"]], "Replying to a Message": [[39, "replying-to-a-message"]], "Replying to an Email": [[18, "replying-to-an-email"]], "Repositories using VUnit for CI": [[37, "repositories-using-vunit-for-ci"]], "Reproducibility": [[60, "reproducibility"]], "Requirements": [[53, "requirements"]], "Results": [[58, "results"]], "Run": [[48, "run"]], "Run Library User Guide": [[60, "run-library-user-guide"]], "Running A VUnit Testbench Standalone": [[60, "running-a-vunit-testbench-standalone"]], "Running Test Cases Independently": [[60, "running-test-cases-independently"]], "Running the Python tests": [[40, "running-the-python-tests"]], "Running the VHDL tests": [[40, "running-the-vhdl-tests"]], "Scanning for Test Benches": [[62, "scanning-for-test-benches"]], "Searching the Identity Tree": [[51, "searching-the-identity-tree"]], "Seeds for Random Number Generation": [[60, "seeds-for-random-number-generation"]], "Selecting DUT Using Generics": [[28, "selecting-dut-using-generics"]], "Selecting DUT Using VHDL Configurations": [[28, "selecting-dut-using-vhdl-configurations"]], "Selecting Test Runner Using VHDL Configurations": [[28, "selecting-test-runner-using-vhdl-configurations"]], "Self-hosted runners": [[36, "self-hosted-runners"]], "Sending Real Emails from within a Testbench": [[18, "sending-real-emails-from-within-a-testbench"]], "Sending an Email": [[18, "sending-an-email"]], "Sending and Receiving": [[39, "sending-and-receiving"]], "Sending/Receiving to/from Multiple Actors": [[39, "sending-receiving-to-from-multiple-actors"]], "Sequence Check (check_sequence)": [[32, "sequence-check-check-sequence"]], "Sequence Checks": [[32, "sequence-checks"]], "Setup": [[39, "setup"]], "Setup/configuration scripts": [[36, "setup-configuration-scripts"]], "Short Introduction to VUnit": [[1, "short-introduction-to-vunit"]], "Sigasi Adds Full VUnit Support": [[22, "sigasi-adds-full-vunit-support"]], "Sigasi Adds Support for VUnit Testing Framework": [[14, "sigasi-adds-support-for-vunit-testing-framework"]], "Sigasi Deepens Its Commitment to the VUnit Testing Framework": [[21, "sigasi-deepens-its-commitment-to-the-vunit-testing-framework"]], "Signing Messages": [[39, "signing-messages"]], "Simulation Options": [[56, "simulation-options"]], "Simulator": [[53, "simulator"]], "Simulator Selection": [[38, "simulator-selection"]], "Simulator Specific": [[38, "simulator-specific"]], "SourceFile": [[58, "sourcefile"]], "SourceFileList": [[58, "sourcefilelist"]], "Special Paths": [[60, "special-paths"]], "Special generics/parameters": [[62, "special-generics-parameters"]], "Stability Check (check_stable)": [[32, "stability-check-check-stable"]], "State Information": [[39, "state-information"]], "Stopping Failures": [[60, "stopping-failures"]], "Stopping simulation": [[55, "stopping-simulation"]], "Stream Master VCI": [[65, "stream-master-vci"]], "Stream Slave VCI": [[65, "stream-slave-vci"]], "Structuring Identities": [[51, "structuring-identities"]], "Submodule related": [[40, "submodule-related"]], "Summary": [[7, "summary"]], "Support": [[0, "support"]], "Synchronization VCI": [[65, "synchronization-vci"]], "Synchronous Communication": [[39, "synchronous-communication"]], "System Verilog": [[50, "system-verilog"]], "SystemVerilog": [[48, "systemverilog"]], "SystemVerilog Test Benches": [[62, "systemverilog-test-benches"]], "SystemVerilog UART": [[48, "systemverilog-uart"]], "SystemVerilog User Guide": [[48, "systemverilog-user-guide"]], "Test": [[58, "test"]], "Test Output Path Length": [[38, "test-output-path-length"]], "Test Output Paths": [[38, "test-output-paths"]], "TestBench": [[58, "testbench"]], "Testbench with Test Cases": [[60, "testbench-with-test-cases"]], "Testimonials": [[61, "testimonials"]], "Testing with Tox": [[40, "testing-with-tox"]], "The Caesar Encryption Device": [[7, "the-caesar-encryption-device"]], "The Matlab Script": [[17, "the-matlab-script"]], "The Run Script": [[17, "the-run-script"]], "The Testbench": [[17, "the-testbench"]], "The VUnit 3.0 Approach": [[16, "the-vunit-3-0-approach"]], "The VUnit Watchdog": [[60, "the-vunit-watchdog"]], "Timeout": [[39, "timeout"]], "Tool Providers": [[61, "tool-providers"]], "Trace Log": [[39, "trace-log"]], "Two Types of VUnit Events": [[26, "two-types-of-vunit-events"], [41, "two-types-of-vunit-events"]], "Unai Martinez-Corral: Bridging HW and SW verification with VUnit Co-Simulation": [[25, "unai-martinez-corral-bridging-hw-and-sw-verification-with-vunit-co-simulation"]], "Unconditional Checks": [[32, "unconditional-checks"]], "Unsubscribing": [[39, "unsubscribing"]], "Usage": [[38, "usage"]], "User Guide": [[62, "user-guide"]], "User-Defined Events": [[26, "user-defined-events"], [41, "user-defined-events"]], "Using the Development Version": [[53, "using-the-development-version"]], "Using the Python Package Manager": [[53, "using-the-python-package-manager"]], "VHDL": [[48, "vhdl"], [50, "vhdl"]], "VHDL Test Benches": [[62, "vhdl-test-benches"]], "VHDL UART": [[48, "vhdl-uart"]], "VHDL User Guide": [[48, "vhdl-user-guide"]], "VHDL example": [[40, "vhdl-example"]], "VHDL only": [[53, "vhdl-only"]], "VHDL or SystemVerilog": [[53, "vhdl-or-systemverilog"]], "VHDL related": [[40, "vhdl-related"]], "VUnit - Getting Started 1-2-3": [[12, "vunit-getting-started-1-2-3"]], "VUnit - The Best Value for Initial Effort - Part 1": [[9, "vunit-the-best-value-for-initial-effort-part-1"]], "VUnit - The Best Value for Initial Effort - Part 2": [[10, "vunit-the-best-value-for-initial-effort-part-2"]], "VUnit - The Best Value for Initial Effort - Part 3": [[11, "vunit-the-best-value-for-initial-effort-part-3"]], "VUnit 3.0": [[19, "vunit-3-0"]], "VUnit 3.0 - While Waiting for VHDL-2017": [[16, "vunit-3-0-while-waiting-for-vhdl-2017"]], "VUnit 3.0 Color Logging": [[15, "vunit-3-0-color-logging"]], "VUnit Action": [[23, "vunit-action"], [36, "vunit-action"]], "VUnit BFMs - as Simple as Emailing": [[18, "vunit-bfms-as-simple-as-emailing"]], "VUnit Community Developed BFMs": [[20, "vunit-community-developed-bfms"]], "VUnit Events": [[26, "vunit-events"], [41, "vunit-events"]], "VUnit Matlab Integration": [[17, "vunit-matlab-integration"]], "VUnit Phases": [[27, "vunit-phases"]], "VUnit User Conference": [[25, "vunit-user-conference"]], "VUnit on the Floor": [[25, "vunit-on-the-floor"]], "VUnit-Provided Events": [[26, "vunit-provided-events"], [41, "vunit-provided-events"]], "VUnit: a test framework for HDL": [[52, "vunit-a-test-framework-for-hdl"]], "Verification Component Interfaces": [[64, "verification-component-interfaces"]], "Verification Components": [[19, "verification-components"], [50, "verification-components"], [64, "verification-components"]], "Verification Components User Guide": [[64, "verification-components-user-guide"]], "Vivado IP": [[48, "vivado-ip"]], "Website Updates": [[6, "website-updates"]], "Welcome to Our New Website": [[4, "welcome-to-our-new-website"]], "What Makes a Test Fail?": [[60, "what-makes-a-test-fail"]], "What You Will Learn": [[26, "what-you-will-learn"], [27, "what-you-will-learn"]], "What is VUnit?": [[0, "what-is-vunit"]], "Who\u2019s Using UVM (or Not) for FPGA Development, and Why?": [[2, "who-s-using-uvm-or-not-for-fpga-development-and-why"]], "Zero One-Hot Check (check_zero_one_hot)": [[32, "zero-one-hot-check-check-zero-one-hot"]], "check package": [[30, "check-package"]], "checker package": [[31, "checker-package"]], "external integer vector package": [[42, "external-integer-vector-package"]], "external string package": [[43, "external-string-package"]], "ghdl/nvc": [[38, "ghdl/nvc"]], "integer_array package": [[45, "integer-array-package"]], "lin-docker": [[37, "lin-docker"]], "lin-setup": [[37, "lin-setup"]], "lin-vunit": [[37, "lin-vunit"]], "log_handler_pkg": [[55, "log-handler-pkg"]], "logger_pkg": [[55, "logger-pkg"]], "modelsim/questa": [[38, "modelsim/questa"]], "queue package": [[46, "queue-package"]], "vunit.ui": [[58, "vunit-ui"]], "win-setup": [[37, "win-setup"]], "win-stable": [[37, "win-stable"]]}, "docnames": ["about", "blog/2015_09_24_short_introduction_to_vunit", "blog/2015_10_08_who_is_using_UVM", "blog/2015_12_15_free_and_open_source_verification_with_vunit_and_ghdl", "blog/2016_01_26_welcome_to_our_new_website", "blog/2016_01_29_chat_with_vunit_users_and_developers", "blog/2016_02_01_website_updates", "blog/2016_02_21_improving_vhdl_testbench_design_with_message_passing", "blog/2016_08_08_making_osvvm_a_submodule", "blog/2016_11_15_vunit_the_best_value_for_initial_effort_part1", "blog/2016_11_16_vunit_the_best_value_for_initial_effort_part2", "blog/2016_11_22_vunit_the_best_value_for_initial_effort_part3", "blog/2017_01_12_vunit_getting_started_1_2_3", "blog/2017_06_03_enable_your_simulator_to_handle_complex_top_level_generics", "blog/2017_09_28_sigasi_adds_support_for_vunit_testing_framework", "blog/2017_10_31_vunit_3_0_color_logging", "blog/2017_11_07_vunit_3_0_while_waiting_for_vhdl_2017", "blog/2017_11_23_vunit_matlab_integration", "blog/2017_12_14_vunit_bfms_as_simple_as_emailing", "blog/2018_02_12_vunit3", "blog/2018_03_22_vunit_community_developed_bfms", "blog/2018_07_22_sigasi_deepens_its_commitment_to_the_vunit_testing_framework", "blog/2018_09_22_sigasi_adds_full_vunit_support", "blog/2020_08_12_continuous_integration_with_vunit_action_in_10_lines_of_code", "blog/2022_09_06_vunit_and_other_frameworks", "blog/2022_09_19_vunit_user_conference", "blog/2023_03_31_vunit_events", "blog/2023_04_01_vunit_phases", "blog/2023_08_26_vhdl_configurations", "blog/index", "check/check_api", "check/checker_pkg", "check/user_guide", "ci/container", "ci/intro", "ci/manual", "ci/script", "ci/usecases", "cli", "com/user_guide", "contributing", "data_types/event_user_guide", "data_types/ext_integer_vector", "data_types/ext_string", "data_types/external_api", "data_types/integer_array", "data_types/queue", "data_types/user_guide", "examples", "genindex", "hdl_libraries", "id/user_guide", "index", "installing", "license", "logging/user_guide", "py/opts", "py/ui", "py/vunit", "release_notes", "run/user_guide", "testimonials/testimonials", "user_guide", "verification_components/memory_model", "verification_components/user_guide", "verification_components/vci"], "envversion": {"sphinx": 61, "sphinx.domains.c": 3, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 9, "sphinx.domains.index": 1, "sphinx.domains.javascript": 3, "sphinx.domains.math": 2, "sphinx.domains.python": 4, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx.ext.intersphinx": 1, "sphinx.ext.todo": 2}, "filenames": ["about.rst", "blog/2015_09_24_short_introduction_to_vunit.rst", "blog/2015_10_08_who_is_using_UVM.rst", "blog/2015_12_15_free_and_open_source_verification_with_vunit_and_ghdl.rst", "blog/2016_01_26_welcome_to_our_new_website.rst", "blog/2016_01_29_chat_with_vunit_users_and_developers.rst", "blog/2016_02_01_website_updates.rst", "blog/2016_02_21_improving_vhdl_testbench_design_with_message_passing.rst", "blog/2016_08_08_making_osvvm_a_submodule.rst", "blog/2016_11_15_vunit_the_best_value_for_initial_effort_part1.rst", "blog/2016_11_16_vunit_the_best_value_for_initial_effort_part2.rst", "blog/2016_11_22_vunit_the_best_value_for_initial_effort_part3.rst", "blog/2017_01_12_vunit_getting_started_1_2_3.rst", "blog/2017_06_03_enable_your_simulator_to_handle_complex_top_level_generics.rst", "blog/2017_09_28_sigasi_adds_support_for_vunit_testing_framework.rst", "blog/2017_10_31_vunit_3_0_color_logging.rst", "blog/2017_11_07_vunit_3_0_while_waiting_for_vhdl_2017.rst", "blog/2017_11_23_vunit_matlab_integration.rst", "blog/2017_12_14_vunit_bfms_as_simple_as_emailing.rst", "blog/2018_02_12_vunit3.rst", "blog/2018_03_22_vunit_community_developed_bfms.rst", "blog/2018_07_22_sigasi_deepens_its_commitment_to_the_vunit_testing_framework.rst", "blog/2018_09_22_sigasi_adds_full_vunit_support.rst", "blog/2020_08_12_continuous_integration_with_vunit_action_in_10_lines_of_code.rst", "blog/2022_09_06_vunit_and_other_frameworks.rst", "blog/2022_09_19_vunit_user_conference.rst", "blog/2023_03_31_vunit_events.rst", "blog/2023_04_01_vunit_phases.rst", "blog/2023_08_26_vhdl_configurations.rst", "blog/index.rst", "check/check_api.rst", "check/checker_pkg.rst", "check/user_guide.rst", "ci/container.rst", "ci/intro.rst", "ci/manual.rst", "ci/script.rst", "ci/usecases.rst", "cli.rst", "com/user_guide.rst", "contributing.rst", "data_types/event_user_guide.rst", "data_types/ext_integer_vector.rst", "data_types/ext_string.rst", "data_types/external_api.rst", "data_types/integer_array.rst", "data_types/queue.rst", "data_types/user_guide.rst", "examples.rst", "genindex.rst", "hdl_libraries.rst", "id/user_guide.rst", "index.rst", "installing.rst", "license.rst", "logging/user_guide.rst", "py/opts.rst", "py/ui.rst", "py/vunit.rst", "release_notes.rst", "run/user_guide.rst", "testimonials/testimonials.rst", "user_guide.rst", "verification_components/memory_model.rst", "verification_components/user_guide.rst", "verification_components/vci.rst"], "indexentries": {"add_array_util() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_array_util", false]], "add_com() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_com", false]], "add_compile_option() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.add_compile_option", false]], "add_compile_option() (vunit.ui.library.librarylist method)": [[58, "vunit.ui.library.LibraryList.add_compile_option", false]], "add_compile_option() (vunit.ui.source.sourcefile method)": [[58, "vunit.ui.source.SourceFile.add_compile_option", false]], "add_compile_option() (vunit.ui.source.sourcefilelist method)": [[58, "vunit.ui.source.SourceFileList.add_compile_option", false]], "add_compile_option() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_compile_option", false]], "add_config() (vunit.ui.test.test method)": [[58, "vunit.ui.test.Test.add_config", false]], "add_config() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.add_config", false]], "add_dependency_on() (vunit.ui.source.sourcefile method)": [[58, "vunit.ui.source.SourceFile.add_dependency_on", false]], "add_dependency_on() (vunit.ui.source.sourcefilelist method)": [[58, "vunit.ui.source.SourceFileList.add_dependency_on", false]], "add_external_library() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_external_library", false]], "add_json4vhdl() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_json4vhdl", false]], "add_library() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_library", false]], "add_osvvm() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_osvvm", false]], "add_preprocessor() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_preprocessor", false]], "add_random() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_random", false]], "add_source_file() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.add_source_file", false]], "add_source_file() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_source_file", false]], "add_source_files() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.add_source_files", false]], "add_source_files() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_source_files", false]], "add_source_files_from_csv() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_source_files_from_csv", false]], "add_verification_components() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_verification_components", false]], "add_verilog_builtins() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_verilog_builtins", false]], "add_vhdl_builtins() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.add_vhdl_builtins", false]], "enable_check_preprocessing() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.enable_check_preprocessing", false]], "enable_location_preprocessing() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.enable_location_preprocessing", false]], "entity() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.entity", false]], "from_args() (vunit.ui.vunit class method)": [[58, "vunit.ui.VUnit.from_args", false]], "from_argv() (vunit.ui.vunit class method)": [[58, "vunit.ui.VUnit.from_argv", false]], "get_compile_option() (vunit.ui.source.sourcefile method)": [[58, "vunit.ui.source.SourceFile.get_compile_option", false]], "get_compile_order() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.get_compile_order", false]], "get_implementation_subset() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.get_implementation_subset", false]], "get_libraries() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.get_libraries", false]], "get_report() (vunit.ui.results.results method)": [[58, "vunit.ui.results.Results.get_report", false]], "get_simulator_name() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.get_simulator_name", false]], "get_source_file() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.get_source_file", false]], "get_source_file() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.get_source_file", false]], "get_source_files() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.get_source_files", false]], "get_source_files() (vunit.ui.library.librarylist method)": [[58, "vunit.ui.library.LibraryList.get_source_files", false]], "get_source_files() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.get_source_files", false]], "get_test_benches() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.get_test_benches", false]], "get_test_benches() (vunit.ui.library.librarylist method)": [[58, "vunit.ui.library.LibraryList.get_test_benches", false]], "get_tests() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.get_tests", false]], "library (class in vunit.ui.library)": [[58, "vunit.ui.library.Library", false]], "library (vunit.ui.source.sourcefile property)": [[58, "vunit.ui.source.SourceFile.library", false]], "library (vunit.ui.testbench.testbench property)": [[58, "vunit.ui.testbench.TestBench.library", false]], "library() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.library", false]], "librarylist (class in vunit.ui.library)": [[58, "vunit.ui.library.LibraryList", false]], "main() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.main", false]], "merge_coverage() (vunit.ui.results.results method)": [[58, "vunit.ui.results.Results.merge_coverage", false]], "module": [[57, "module-vunit.vunit_cli", false]], "module() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.module", false]], "name (vunit.ui.library.library property)": [[58, "vunit.ui.library.Library.name", false]], "name (vunit.ui.source.sourcefile property)": [[58, "vunit.ui.source.SourceFile.name", false]], "name (vunit.ui.test.test property)": [[58, "vunit.ui.test.Test.name", false]], "name (vunit.ui.testbench.testbench property)": [[58, "vunit.ui.testbench.TestBench.name", false]], "nonnegative_int() (in module vunit.vunit_cli)": [[57, "vunit.vunit_cli.nonnegative_int", false]], "parse_args() (vunit.vunit_cli.vunitcli method)": [[57, "vunit.vunit_cli.VUnitCLI.parse_args", false]], "preprocessor (class in vunit.ui.preprocessor)": [[58, "vunit.ui.preprocessor.Preprocessor", false]], "relpath (vunit.ui.results.testresult property)": [[58, "vunit.ui.results.TestResult.relpath", false]], "report (class in vunit.ui.results)": [[58, "vunit.ui.results.Report", false]], "results (class in vunit.ui.results)": [[58, "vunit.ui.results.Results", false]], "run() (vunit.ui.preprocessor.preprocessor method)": [[58, "vunit.ui.preprocessor.Preprocessor.run", false]], "scan_tests_from_file() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.scan_tests_from_file", false]], "set_attribute() (vunit.ui.test.test method)": [[58, "vunit.ui.test.Test.set_attribute", false]], "set_attribute() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.set_attribute", false]], "set_attribute() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.set_attribute", false]], "set_compile_option() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.set_compile_option", false]], "set_compile_option() (vunit.ui.library.librarylist method)": [[58, "vunit.ui.library.LibraryList.set_compile_option", false]], "set_compile_option() (vunit.ui.source.sourcefile method)": [[58, "vunit.ui.source.SourceFile.set_compile_option", false]], "set_compile_option() (vunit.ui.source.sourcefilelist method)": [[58, "vunit.ui.source.SourceFileList.set_compile_option", false]], "set_compile_option() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.set_compile_option", false]], "set_generic() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.set_generic", false]], "set_generic() (vunit.ui.library.librarylist method)": [[58, "vunit.ui.library.LibraryList.set_generic", false]], "set_generic() (vunit.ui.test.test method)": [[58, "vunit.ui.test.Test.set_generic", false]], "set_generic() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.set_generic", false]], "set_generic() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.set_generic", false]], "set_parameter() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.set_parameter", false]], "set_parameter() (vunit.ui.library.librarylist method)": [[58, "vunit.ui.library.LibraryList.set_parameter", false]], "set_parameter() (vunit.ui.test.test method)": [[58, "vunit.ui.test.Test.set_parameter", false]], "set_parameter() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.set_parameter", false]], "set_parameter() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.set_parameter", false]], "set_post_check() (vunit.ui.test.test method)": [[58, "vunit.ui.test.Test.set_post_check", false]], "set_post_check() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.set_post_check", false]], "set_pre_config() (vunit.ui.test.test method)": [[58, "vunit.ui.test.Test.set_pre_config", false]], "set_pre_config() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.set_pre_config", false]], "set_sim_option() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.set_sim_option", false]], "set_sim_option() (vunit.ui.library.librarylist method)": [[58, "vunit.ui.library.LibraryList.set_sim_option", false]], "set_sim_option() (vunit.ui.test.test method)": [[58, "vunit.ui.test.Test.set_sim_option", false]], "set_sim_option() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.set_sim_option", false]], "set_sim_option() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.set_sim_option", false]], "set_vhdl_configuration_name() (vunit.ui.test.test method)": [[58, "vunit.ui.test.Test.set_vhdl_configuration_name", false]], "set_vhdl_configuration_name() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.set_vhdl_configuration_name", false]], "simulator_supports_coverage() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.simulator_supports_coverage", false]], "sourcefile (class in vunit.ui.source)": [[58, "vunit.ui.source.SourceFile", false]], "sourcefilelist (class in vunit.ui.source)": [[58, "vunit.ui.source.SourceFileList", false]], "test (class in vunit.ui.test)": [[58, "vunit.ui.test.Test", false]], "test() (vunit.ui.testbench.testbench method)": [[58, "vunit.ui.testbench.TestBench.test", false]], "test_bench() (vunit.ui.library.library method)": [[58, "vunit.ui.library.Library.test_bench", false]], "testbench (class in vunit.ui.testbench)": [[58, "vunit.ui.testbench.TestBench", false]], "testresult (class in vunit.ui.results)": [[58, "vunit.ui.results.TestResult", false]], "update_test_pattern() (vunit.ui.vunit method)": [[58, "vunit.ui.VUnit.update_test_pattern", false]], "vhdl_standard (vunit.ui.source.sourcefile property)": [[58, "vunit.ui.source.SourceFile.vhdl_standard", false]], "vunit (class in vunit.ui)": [[58, "vunit.ui.VUnit", false]], "vunit.vunit_cli": [[57, "module-vunit.vunit_cli", false]], "vunitcli (class in vunit.vunit_cli)": [[57, "vunit.vunit_cli.VUnitCLI", false]]}, "objects": {"vunit": [[57, 3, 0, "-", "vunit_cli"]], "vunit.ui": [[58, 0, 1, "", "VUnit"]], "vunit.ui.VUnit": [[58, 1, 1, "", "add_array_util"], [58, 1, 1, "", "add_com"], [58, 1, 1, "", "add_compile_option"], [58, 1, 1, "", "add_external_library"], [58, 1, 1, "", "add_json4vhdl"], [58, 1, 1, "", "add_library"], [58, 1, 1, "", "add_osvvm"], [58, 1, 1, "", "add_preprocessor"], [58, 1, 1, "", "add_random"], [58, 1, 1, "", "add_source_file"], [58, 1, 1, "", "add_source_files"], [58, 1, 1, "", "add_source_files_from_csv"], [58, 1, 1, "", "add_verification_components"], [58, 1, 1, "", "add_verilog_builtins"], [58, 1, 1, "", "add_vhdl_builtins"], [58, 1, 1, "", "enable_check_preprocessing"], [58, 1, 1, "", "enable_location_preprocessing"], [58, 1, 1, "", "from_args"], [58, 1, 1, "", "from_argv"], [58, 1, 1, "", "get_compile_order"], [58, 1, 1, "", "get_implementation_subset"], [58, 1, 1, "", "get_libraries"], [58, 1, 1, "", "get_simulator_name"], [58, 1, 1, "", "get_source_file"], [58, 1, 1, "", "get_source_files"], [58, 1, 1, "", "library"], [58, 1, 1, "", "main"], [58, 1, 1, "", "set_attribute"], [58, 1, 1, "", "set_compile_option"], [58, 1, 1, "", "set_generic"], [58, 1, 1, "", "set_parameter"], [58, 1, 1, "", "set_sim_option"], [58, 1, 1, "", "simulator_supports_coverage"], [58, 1, 1, "", "update_test_pattern"]], "vunit.ui.library": [[58, 0, 1, "", "Library"], [58, 0, 1, "", "LibraryList"]], "vunit.ui.library.Library": [[58, 1, 1, "", "add_compile_option"], [58, 1, 1, "", "add_source_file"], [58, 1, 1, "", "add_source_files"], [58, 1, 1, "", "entity"], [58, 1, 1, "", "get_source_file"], [58, 1, 1, "", "get_source_files"], [58, 1, 1, "", "get_test_benches"], [58, 1, 1, "", "module"], [58, 2, 1, "", "name"], [58, 1, 1, "", "set_compile_option"], [58, 1, 1, "", "set_generic"], [58, 1, 1, "", "set_parameter"], [58, 1, 1, "", "set_sim_option"], [58, 1, 1, "", "test_bench"]], "vunit.ui.library.LibraryList": [[58, 1, 1, "", "add_compile_option"], [58, 1, 1, "", "get_source_files"], [58, 1, 1, "", "get_test_benches"], [58, 1, 1, "", "set_compile_option"], [58, 1, 1, "", "set_generic"], [58, 1, 1, "", "set_parameter"], [58, 1, 1, "", "set_sim_option"]], "vunit.ui.preprocessor": [[58, 0, 1, "", "Preprocessor"]], "vunit.ui.preprocessor.Preprocessor": [[58, 1, 1, "", "run"]], "vunit.ui.results": [[58, 0, 1, "", "Report"], [58, 0, 1, "", "Results"], [58, 0, 1, "", "TestResult"]], "vunit.ui.results.Results": [[58, 1, 1, "", "get_report"], [58, 1, 1, "", "merge_coverage"]], "vunit.ui.results.TestResult": [[58, 2, 1, "", "relpath"]], "vunit.ui.source": [[58, 0, 1, "", "SourceFile"], [58, 0, 1, "", "SourceFileList"]], "vunit.ui.source.SourceFile": [[58, 1, 1, "", "add_compile_option"], [58, 1, 1, "", "add_dependency_on"], [58, 1, 1, "", "get_compile_option"], [58, 2, 1, "", "library"], [58, 2, 1, "", "name"], [58, 1, 1, "", "set_compile_option"], [58, 2, 1, "", "vhdl_standard"]], "vunit.ui.source.SourceFileList": [[58, 1, 1, "", "add_compile_option"], [58, 1, 1, "", "add_dependency_on"], [58, 1, 1, "", "set_compile_option"]], "vunit.ui.test": [[58, 0, 1, "", "Test"]], "vunit.ui.test.Test": [[58, 1, 1, "", "add_config"], [58, 2, 1, "", "name"], [58, 1, 1, "", "set_attribute"], [58, 1, 1, "", "set_generic"], [58, 1, 1, "", "set_parameter"], [58, 1, 1, "", "set_post_check"], [58, 1, 1, "", "set_pre_config"], [58, 1, 1, "", "set_sim_option"], [58, 1, 1, "", "set_vhdl_configuration_name"]], "vunit.ui.testbench": [[58, 0, 1, "", "TestBench"]], "vunit.ui.testbench.TestBench": [[58, 1, 1, "", "add_config"], [58, 1, 1, "", "get_tests"], [58, 2, 1, "", "library"], [58, 2, 1, "", "name"], [58, 1, 1, "", "scan_tests_from_file"], [58, 1, 1, "", "set_attribute"], [58, 1, 1, "", "set_generic"], [58, 1, 1, "", "set_parameter"], [58, 1, 1, "", "set_post_check"], [58, 1, 1, "", "set_pre_config"], [58, 1, 1, "", "set_sim_option"], [58, 1, 1, "", "set_vhdl_configuration_name"], [58, 1, 1, "", "test"]], "vunit.vunit_cli": [[57, 0, 1, "", "VUnitCLI"], [57, 4, 1, "", "nonnegative_int"]], "vunit.vunit_cli.VUnitCLI": [[57, 1, 1, "", "parse_args"]]}, "objnames": {"0": ["py", "class", "Python class"], "1": ["py", "method", "Python method"], "2": ["py", "property", "Python property"], "3": ["py", "module", "Python module"], "4": ["py", "function", "Python function"]}, "objtypes": {"0": "py:class", "1": "py:method", "2": "py:property", "3": "py:module", "4": "py:function"}, "terms": {"": [0, 1, 6, 7, 8, 9, 10, 13, 16, 17, 18, 19, 21, 23, 25, 26, 27, 28, 29, 32, 36, 37, 38, 39, 41, 44, 47, 48, 51, 54, 55, 58, 59, 60, 62], "0": [0, 3, 7, 8, 13, 17, 18, 20, 21, 23, 26, 27, 28, 29, 30, 31, 32, 36, 38, 39, 40, 41, 44, 45, 46, 47, 51, 52, 53, 54, 55, 60, 62], "00": 32, "0000_0000_1000_0011": 27, "0000_0000_1011_1001": 27, "0000_0101": [26, 41], "0000_1010": [26, 41], "0001_0000": 32, "0001_0001": 32, "0011": 32, "02": [38, 53], "04": 32, "05": 32, "06": [32, 53], "08": 38, "09": 60, "0m": [13, 60], "0x": 51, "0x12345678": 51, "0xdeadbeef": 51, "1": [0, 13, 17, 18, 21, 23, 26, 27, 28, 29, 30, 31, 32, 36, 38, 39, 41, 51, 53, 55, 57, 58, 60, 62, 63], "10": [7, 9, 17, 26, 27, 28, 29, 39, 41, 53, 55, 57, 60], "100": [3, 17, 26, 32, 38, 41, 58], "1000": [26, 32, 41, 55], "10000": [19, 32, 39], "10000000": [27, 51], "10000000000000": 60, "1001": 59, "1002": 59, "1004": 59, "1005": 59, "1007": 59, "10101010": 39, "1018": 59, "1025": 59, "1026": 59, "1032": 59, "1033": 59, "1036": 59, "1039": 59, "1044": 59, "1049": 59, "1053": 59, "1054": 59, "1078": 59, "1082": 59, "1089": 59, "109": 59, "1091": 59, "1092": 59, "10m": 60, "11": [9, 57], "1111_1001": 32, "1111_1010": 32, "114000000": 27, "116": 59, "117": 57, "118": 59, "119": 59, "12": 0, "120": 59, "121": 59, "123": [40, 55], "123456": 55, "126": 59, "128": 7, "129": 59, "131": 27, "134": [26, 41], "137": 59, "138": 59, "14": 58, "140": 59, "141": 59, "143": 59, "146": 59, "148": 59, "15": [19, 32, 55, 58], "150": 21, "1509": 33, "151": [26, 41], "153": 59, "155": [26, 41, 59], "16": [28, 32, 38, 55, 58, 60], "17": [32, 39, 60], "174000": [26, 41], "178000": [26, 41], "179": 59, "18": [7, 9, 38, 59, 60], "180": [26, 41], "181": [26, 41], "185": 27, "19": [38, 60], "1993": [38, 59], "1d": 48, "1n": 62, "2": [0, 13, 17, 19, 23, 27, 28, 29, 32, 38, 39, 40, 51, 52, 53, 54, 55, 60], "20": 57, "200": 58, "2000": [16, 26, 36, 41], "20000": [19, 39], "20000000": 27, "2002": [38, 53], "2008": [38, 48, 53, 59], "201": 33, "2010": [0, 54], "2014": [0, 24, 40, 54], "2015": [0, 53, 54], "2016": 53, "2017": [15, 29, 61], "2019": [0, 26, 28, 38, 41, 53, 55, 60], "2022": [0, 54, 59], "2023": [0, 54], "2024": [0, 40, 54], "206": [26, 41], "20test": [23, 36], "21": [19, 39], "211": 59, "2147483647": 39, "22": 60, "222000000": 27, "23": 32, "230": 59, "233": 59, "235": 28, "23n": 13, "24": 60, "249": 32, "25": 7, "250": [32, 59], "255": [39, 46], "26": 60, "260": [38, 55], "263": 59, "265": [26, 41], "268": 59, "27": 21, "274": 59, "278": 59, "283": 59, "284": 59, "285": 59, "286": 59, "288": 59, "290000000": 27, "299": 59, "2d": 48, "2n": 62, "3": [13, 14, 17, 18, 20, 21, 26, 29, 32, 38, 39, 40, 41, 44, 47, 53, 55, 56, 60], "30": 11, "300": 59, "3000": [26, 36, 41], "30000": [19, 39], "30000000": 27, "305": 59, "32": [28, 45], "325f": 18, "326000": [26, 41], "326000000": 27, "328": 59, "33": [3, 53, 59], "332": 59, "340": 60, "341": 59, "344": 59, "346": 59, "349": 59, "350": 59, "354": 59, "356": 59, "359": 59, "361": 59, "368": [26, 41], "3680f2e2321cdac3": 60, "37": [59, 60], "372": 59, "374": 59, "383": 59, "384": 59, "39": [26, 27, 41, 51, 55, 60], "392": [26, 41], "396": [26, 41], "3d": 48, "3x": 60, "4": [1, 13, 21, 44, 47, 60, 63], "400": [26, 41, 59], "40000000": 27, "402": 59, "405": 59, "406": 59, "408": 59, "409": 59, "415": 59, "417": [26, 41], "420": 59, "422": 59, "429": 59, "437": 59, "44": 59, "45": [55, 60], "456": [40, 55], "459": 59, "461": 59, "465": 38, "467": 59, "469": 59, "471": 59, "476": 59, "48": 60, "480": 13, "483": 59, "4kb": 59, "4x": [9, 11], "5": [7, 9, 12, 13, 23, 26, 38, 39, 41, 53, 60], "500": [26, 41], "500000": [26, 41], "507": 59, "5141f7c": 59, "53": 60, "549": 59, "55": 60, "554": 59, "556": [38, 59], "557": 59, "559": 59, "56": 60, "563": 59, "572": 59, "573": 59, "576": 59, "582": 59, "586": 59, "593": 59, "594": 59, "595": 59, "596": 59, "6": [0, 1, 3, 14, 21, 32, 39, 60], "600": 59, "601": 59, "606": 59, "607": 59, "610": 59, "612": 59, "616": 59, "620": 59, "621": 59, "624": [38, 59], "626": 59, "627": 59, "631": 59, "632": 59, "635": 59, "636": 59, "638": 59, "64": 60, "640": 13, "641": 59, "642": 59, "648": 59, "64m": 56, "657": 59, "6581894e9ea614b1": 60, "661": 59, "664": 59, "67": 8, "675": 59, "681": 59, "682": 59, "692": 59, "693": 59, "694": 59, "695": 59, "696": 59, "7": [3, 39, 40, 60], "709": 59, "712": 59, "720": 59, "721": 59, "722": 59, "724": 59, "729": 59, "73": 55, "730": 59, "731": 59, "735": 59, "736": 59, "737": 59, "738": 59, "739": 59, "742": 59, "743": 59, "745": 59, "747": 59, "748": 59, "750": 59, "751": 59, "753": 59, "754": 59, "757": 59, "761": 59, "764": 59, "766": 59, "767": 59, "768": 59, "769": 59, "771": 59, "773": 59, "774": 59, "776": [24, 59], "777": [58, 59], "778": 59, "779": 59, "780": 59, "78000000": 27, "781": 59, "782": 59, "785": 24, "786": 59, "790": 59, "792": 59, "794": 59, "797": 59, "798": 59, "799": 59, "7a0e979de335b966": 60, "8": [1, 26, 28, 39, 40, 41, 53, 60, 65], "80": [19, 39], "801": 59, "802": 59, "81": [26, 28, 41], "810": 59, "813": 59, "815": 59, "816": 59, "819": 59, "820": 59, "82000000": 27, "821": 59, "823": 59, "825": 59, "826": 59, "827": 59, "830": 59, "832": 59, "834": 59, "835": 59, "838": 59, "840": 59, "845": 59, "849": 59, "85": 60, "850": 59, "852": 59, "854": 59, "855": 59, "856": 59, "858": 59, "868": 59, "870": 59, "872": 59, "874": 59, "875": 59, "883": 59, "887": 59, "888": 59, "889": 59, "898": 59, "899": 59, "8c5c0ea80b58a8e": 60, "9": [28, 38, 39, 53, 60], "900": 59, "901": 59, "903": 59, "904": 59, "908": 59, "909": 59, "911": 59, "912": 59, "914": 59, "917": 59, "918": 59, "92": 40, "920": 59, "921": 59, "924": 59, "926": 59, "927": 59, "93": [16, 26, 38, 41, 53, 59], "930": 59, "932": 59, "933": 59, "940": 59, "946": 59, "947": 59, "948": 59, "949": 59, "951": 59, "954": 59, "956": 59, "95e848b8": 59, "965": 59, "966": 59, "967": 59, "971": 59, "972": 59, "981": 59, "983": 59, "989": 59, "99": [26, 41], "991": 59, "992": 59, "993": 59, "994": 59, "996": 59, "998": 59, "A": [1, 3, 7, 9, 11, 13, 17, 18, 19, 21, 24, 26, 27, 28, 32, 38, 39, 40, 41, 48, 51, 55, 56, 57, 58, 59, 62, 64, 65], "And": 60, "As": [1, 7, 11, 16, 18, 19, 24, 26, 27, 28, 32, 33, 36, 37, 39, 40, 41, 51, 58, 59], "At": [24, 26, 27, 41, 51, 62], "Be": [39, 60], "Being": 40, "But": [17, 18], "By": [16, 19, 20, 26, 36, 38, 39, 41, 44, 47, 50, 51, 53, 55, 60, 62], "For": [0, 1, 3, 7, 9, 10, 13, 15, 16, 17, 18, 19, 22, 24, 25, 26, 27, 28, 32, 33, 36, 38, 39, 40, 41, 51, 55, 56, 57, 58, 59, 60, 61, 62, 64], "If": [1, 3, 7, 8, 11, 16, 17, 18, 19, 21, 23, 25, 26, 27, 28, 32, 33, 36, 38, 39, 40, 41, 51, 55, 56, 57, 58, 59, 60, 62, 65], "In": [0, 1, 7, 9, 10, 11, 16, 17, 18, 19, 21, 24, 25, 26, 27, 28, 32, 33, 34, 36, 38, 39, 40, 41, 44, 47, 48, 50, 51, 55, 57, 58, 60, 62, 63, 64], "It": [0, 1, 7, 9, 13, 16, 19, 21, 23, 24, 25, 26, 27, 28, 32, 33, 36, 37, 38, 39, 41, 51, 52, 55, 57, 59, 60, 61, 62], "Its": 29, "NOT": 55, "No": [7, 53, 55, 59], "Not": [25, 29, 55, 59], "ORed": [26, 41], "Of": 27, "On": [35, 38, 39], "One": [4, 7, 13, 16, 18, 20, 25, 26, 27, 39, 41], "Or": [32, 51], "Such": [13, 16, 28, 32, 58, 60], "That": [7, 13, 16, 21, 25, 26, 28, 33, 35, 36, 39, 41], "The": [0, 1, 2, 3, 6, 8, 12, 13, 14, 15, 18, 19, 21, 23, 24, 25, 26, 27, 28, 29, 32, 33, 36, 37, 38, 39, 40, 41, 44, 47, 48, 50, 51, 53, 54, 55, 56, 57, 58, 59, 62, 63, 64], "Then": [23, 33, 36, 37, 55, 60], "There": [0, 4, 7, 9, 15, 16, 24, 25, 26, 27, 28, 32, 33, 35, 39, 41, 53, 55, 56, 57, 59, 60, 62, 63], "These": [2, 7, 9, 13, 15, 16, 18, 24, 25, 26, 27, 32, 41, 51, 53, 60, 65], "To": [7, 8, 16, 17, 18, 23, 26, 27, 28, 32, 36, 38, 39, 40, 41, 51, 53, 55, 58, 59, 60, 63], "Will": [40, 56, 58], "With": [0, 3, 4, 7, 14, 17, 21, 24, 26, 27, 28, 29, 32, 39, 41, 51, 55, 56], "_": [28, 40], "__file__": 17, "__init__": [26, 41, 57], "_actor_": 39, "_actor_3": 39, "_c": 40, "_can_": 13, "_equal": 30, "_g": 40, "_get_output_path": 59, "_init_fil": 59, "_name": 32, "_path": [38, 59], "_pkg": 40, "_t": 40, "_tb": [59, 62], "_vec_t": 40, "_wait_r": [26, 41], "a866d0986a663e6b": 60, "a_flag": [56, 58, 59], "a_procedure_adding_some_delai": 27, "a_random_valu": 60, "aarch64": 53, "ab": [7, 32], "abandon": [16, 51], "abc": 7, "abcd": 7, "abf6f593955b8927": 60, "abil": [0, 7, 13, 16, 57, 59, 60], "abl": [11, 25, 27, 39, 51, 53, 55], "ablog": [6, 59], "abort": [55, 59], "about": [0, 2, 7, 9, 11, 12, 16, 17, 18, 19, 21, 23, 24, 26, 27, 32, 34, 39, 40, 41, 51, 55, 57, 58, 59, 62], "abov": [1, 3, 7, 9, 15, 18, 19, 26, 32, 39, 40, 41, 55, 59, 60, 62], "absenc": [25, 27], "absolut": [32, 33, 38, 58, 59], "abstract": [7, 17, 18, 26, 28, 39, 41, 50, 64], "academia": 25, "accept": [40, 44, 47, 57, 58, 59], "acces": [44, 47], "access": [16, 18, 26, 28, 33, 36, 39, 41, 44, 45, 47, 53, 57, 58, 60, 63, 64], "accident": 59, "accommod": [27, 58], "accord": 27, "account": [4, 59], "accur": [26, 41], "achiev": [7, 9, 26, 27, 32, 33, 39, 41, 53, 57], "acknowledg": [7, 25, 39, 59], "aclk": 59, "acm": 51, "acme_corp_id": 51, "acquaint": 25, "acquir": [27, 39], "across": [7, 60], "act": [16, 18, 21, 27, 39, 51], "action": [7, 16, 18, 26, 27, 29, 33, 35, 37, 39, 40, 41, 59, 62], "activ": [1, 3, 6, 10, 17, 18, 21, 25, 26, 27, 32, 39, 41, 53, 55, 56, 59, 60, 64], "activate_bug": 17, "active_clock_edg": [30, 32], "active_test_cas": 60, "activehdl": [38, 56, 59], "actor": [0, 7, 18, 50, 59, 65], "actor_st": 39, "actor_t": [7, 18, 39, 65], "actor_to_synchron": 39, "actor_vec_t": 39, "actual": [7, 9, 14, 18, 25, 26, 39, 41, 57, 58, 62, 64], "ad": [1, 9, 10, 11, 13, 17, 19, 20, 21, 24, 26, 27, 28, 32, 38, 39, 40, 41, 50, 51, 53, 55, 56, 58, 59, 60, 62], "adapt": 17, "add": [1, 7, 13, 16, 17, 18, 23, 24, 26, 29, 32, 33, 36, 37, 39, 40, 41, 51, 53, 55, 57, 58, 59, 61, 62], "add_": 40, "add_argu": 57, "add_array_util": [17, 57, 58], "add_com": [39, 50, 57, 58], "add_compile_opt": [57, 58, 59], "add_config": [13, 17, 28, 57, 58, 59], "add_dependency_on": [57, 58, 59], "add_external_librari": [57, 58], "add_json4vhdl": [50, 57, 58], "add_librari": [17, 24, 57, 58, 62], "add_msg": 39, "add_osvvm": [24, 50, 57, 58], "add_preprocessor": [26, 41, 57, 58], "add_random": [50, 57, 58], "add_source_fil": [17, 24, 57, 58, 59, 62], "add_source_files_from_csv": [57, 58], "add_verification_compon": [50, 57, 58], "add_verilog_builtin": [50, 57, 58, 59, 62], "add_vhdl_builtin": [32, 39, 44, 47, 50, 55, 57, 58, 59, 62], "adder": 39, "addit": [1, 7, 15, 17, 18, 26, 27, 28, 32, 33, 37, 38, 39, 40, 41, 55, 57, 58, 59, 60, 62, 64], "addition": [26, 28, 32, 41, 56, 60], "additional_subprogram": [55, 58], "addr": [51, 65], "address": [7, 15, 16, 18, 19, 24, 26, 28, 39, 41, 51, 59, 60, 63, 64, 65], "address_length": 65, "adher": 27, "adjac": [28, 59], "administr": [0, 7], "admonit": 59, "adopt": [0, 9, 15, 16, 21, 33, 34, 60], "advanc": [0, 7, 9, 13, 16, 19, 25, 33, 39, 50, 51, 61, 62], "advantag": [0, 7, 24, 25, 27, 28, 63], "advoc": 24, "affect": [4, 7, 8, 27, 39, 56, 58, 59], "after": [0, 7, 11, 13, 16, 17, 25, 26, 27, 28, 32, 34, 38, 39, 41, 51, 56, 57, 58, 59, 60, 62], "after_load": [56, 59], "afterward": 27, "again": [8, 16, 18, 26, 27, 32, 39, 40, 41, 59, 60], "against": [27, 59, 64, 65], "agnost": 9, "ago": [1, 3, 7, 20, 22, 25], "agstu": 25, "ahead": [26, 41], "aid": [26, 41, 59], "aim": 39, "airhdl": 61, "akin": 14, "aldec": [53, 59], "alert_log_level_t": 55, "algorithm": [17, 61], "alia": [26, 30, 39, 41, 44, 46, 47, 65], "alias": [39, 59, 60], "align": [51, 55, 59, 63], "all": [0, 1, 2, 3, 7, 9, 10, 11, 13, 14, 15, 16, 18, 21, 23, 24, 25, 26, 27, 28, 30, 31, 32, 33, 35, 37, 38, 39, 40, 41, 42, 43, 45, 46, 51, 54, 55, 56, 57, 58, 59, 60, 62, 63, 65], "all_243b3c717ce1d4e82490245d1b7e8fe8797f5e94": 60, "all_42aa262c7c96c708ab3f3960f033f2328c642136": 60, "allevi": 28, "alloc": [39, 44, 47, 63, 65], "allow": [0, 1, 16, 17, 18, 19, 23, 24, 25, 26, 27, 28, 32, 33, 34, 36, 38, 39, 40, 41, 50, 55, 56, 58, 59, 60, 64], "allow_deprec": 39, "allow_disabled_error": [55, 60], "allow_disabled_failur": [55, 60], "allow_dupl": 58, "allow_empti": 58, "allow_missing_start": [30, 32], "allow_overlap": [30, 32], "allow_restart": [30, 32], "allow_timeout": 39, "almost": [1, 9, 10, 18, 30, 33], "along": [0, 7, 24, 28, 34, 35, 40], "alongsid": 28, "alpha": [16, 19], "alpha_compon": 19, "alpha_logg": [16, 19], "alphabet": [0, 7], "alpin": 33, "alreadi": [4, 6, 9, 16, 17, 18, 19, 21, 24, 25, 26, 27, 32, 35, 38, 39, 40, 41, 44, 47, 51, 55, 62], "also": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10, 13, 14, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 32, 33, 36, 38, 39, 40, 41, 48, 51, 55, 56, 57, 58, 59, 60, 62, 63, 64], "alter": [26, 41], "altern": [7, 25, 26, 27, 28, 32, 34, 38, 41, 48, 50, 55, 60], "although": [26, 33, 39, 41], "alwai": [4, 7, 9, 11, 19, 21, 25, 26, 28, 32, 38, 39, 41, 55, 59, 62], "ambigu": [39, 59, 60], "among": [2, 7, 9, 25, 51], "amount": [25, 55], "amp": [26, 27, 41, 51, 60], "an": [0, 1, 3, 6, 7, 9, 13, 14, 16, 17, 19, 20, 21, 23, 24, 25, 26, 27, 28, 30, 32, 33, 34, 35, 36, 37, 39, 40, 41, 44, 47, 48, 50, 51, 52, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65], "analogi": [16, 18], "analysi": [26, 32, 40, 41], "analyz": [25, 40], "ancestor": [16, 51], "ander": [0, 40, 54], "ani": [0, 1, 4, 7, 10, 11, 18, 19, 23, 24, 25, 26, 27, 28, 32, 33, 35, 36, 37, 38, 39, 40, 41, 47, 48, 50, 51, 55, 58, 59, 60, 62, 63], "annot": 59, "announc": [21, 33], "anonym": [18, 39], "anoth": [7, 8, 9, 10, 15, 18, 24, 25, 26, 27, 28, 32, 36, 38, 39, 40, 41, 51, 58, 60], "another_random_valu": 60, "ansi_pkg": 55, "answer": [2, 23, 24, 26, 41], "antecedent_expr": [30, 32], "antmicro": 25, "any_event_t": 30, "anymor": 59, "anyon": [0, 1], "anyth": [17, 21, 32, 39, 60], "anywai": [7, 18, 36, 59], "anywher": [1, 55], "apach": [0, 54], "api": [0, 16, 18, 32, 44, 57, 58, 59, 60], "apologi": 0, "appear": [7, 18, 26, 28, 32, 39, 41, 51], "append": [17, 26, 41, 45, 47, 53, 55, 58], "appli": [7, 13, 16, 19, 26, 28, 32, 41, 58, 60], "applic": 58, "appreci": [21, 28], "approach": [0, 7, 9, 11, 14, 18, 21, 26, 28, 32, 33, 37, 39, 41, 50, 52, 60], "appropri": [32, 51], "approv": 40, "appveyor": 59, "apt": 35, "ar": [0, 2, 4, 7, 8, 9, 10, 11, 12, 13, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 44, 45, 47, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 62, 63, 64, 65], "arbitrari": [48, 59], "architect": 7, "architectur": [0, 13, 16, 19, 26, 28, 34, 40, 41, 51, 59, 60, 62], "architecture_nam": 40, "architecur": 28, "area": [16, 18, 21, 24, 60], "arg": [38, 57, 58, 59], "arg_list": 17, "argpars": 57, "argument": [17, 26, 32, 33, 37, 41, 44, 47, 55, 56, 58, 59, 62, 64], "argumentpars": 57, "argv": [17, 57, 58], "aris": 60, "arm": 27, "armv7": 53, "aros": 27, "around": [7, 13, 15, 18, 21, 51, 56, 59, 60], "arr": 45, "arrai": [0, 1, 13, 16, 17, 39, 40, 45, 46, 47, 50, 55, 58, 59], "arrang": [19, 28], "array_axis_vc": [48, 59], "array_pkg": 59, "array_t": 17, "arriv": [18, 26, 27, 39, 41], "arrow": 39, "articl": [9, 10, 11, 14, 15, 16, 17, 18, 20, 21, 22, 23, 25], "artifact": 38, "as_sync": [59, 65], "asic": [2, 17, 28, 61], "asid": 17, "aspect": [18, 28], "asplund": [0, 40, 54], "assembl": 7, "assert": [0, 9, 11, 17, 27, 32, 42, 43, 44, 47, 56, 59, 60, 62], "assign": [7, 13, 16, 17, 26, 28, 32, 36, 39, 41, 51], "assing": 51, "associ": [16, 32, 39, 51, 55, 59, 61, 64], "assum": [13, 32, 40], "assumpt": 27, "assur": 32, "aswel": 53, "asynchron": [7, 39, 57], "atom": 24, "atrribut": 58, "attach": [18, 55, 57, 59], "attempt": [7, 24], "attent": 24, "attr": 38, "attribut": [0, 7, 19, 26, 27, 38, 41, 56, 58, 59, 60], "audienc": 25, "author": [0, 61], "auto": 58, "auto_time_unit": 55, "autodoc_default_flag": 59, "autodoc_default_opt": 59, "autoliv": 61, "autom": [0, 1, 7, 9, 11, 12, 14, 16, 21, 23, 33, 34, 36, 40, 52, 61], "automat": [0, 1, 26, 33, 37, 38, 40, 41, 55, 57, 60, 62], "automot": 25, "autonomi": 25, "avail": [0, 1, 4, 8, 14, 18, 21, 23, 24, 25, 32, 33, 36, 38, 39, 40, 44, 47, 53, 56, 59, 60, 62], "availabl": [26, 41], "avalon": [0, 59, 64], "averag": [7, 21], "avoid": [4, 7, 13, 26, 32, 34, 36, 38, 39, 41, 51, 55, 58, 59, 60], "aw": 33, "awai": [18, 39], "await": [7, 18, 39, 65], "await_burst_read_bus_repli": 65, "await_pop_stream_repli": 65, "await_read_bus_repli": 65, "awar": [9, 21, 27, 39, 60], "axi": [0, 17, 19, 27, 50, 59, 63, 64], "axi4": 50, "axi4stream_errm_stream_all_done_eo": 27, "axi_dma": 48, "axi_lite_mast": 59, "axi_read_slav": 59, "axi_stream": 59, "axi_stream_master_t": 48, "axi_stream_protocol_check": 59, "axi_stream_slave_t": 48, "axi_write_slav": 59, "axis_check": 27, "b": [7, 19, 32, 58, 59, 60], "back": [0, 7, 13, 16, 26, 32, 39, 41, 48, 59], "backend": [53, 56, 59], "background": [3, 7, 9, 21, 55], "backward": [16, 39, 40, 50, 55, 64], "bad": [27, 60], "badg": [11, 23, 36], "badli": 13, "bamboo": [38, 59], "bar": 58, "bare": 50, "barrier": [26, 27, 41], "base": [0, 1, 6, 7, 9, 10, 13, 14, 16, 17, 18, 19, 21, 24, 25, 26, 28, 32, 33, 37, 38, 39, 40, 41, 50, 51, 55, 58, 59, 60, 62, 64], "base16": 59, "base_address": 63, "base_type_nam": 40, "bash": [23, 36, 37], "basi": 1, "basic": [0, 1, 7, 9, 16, 17, 21, 24, 25, 26, 32, 38, 41, 48, 57], "basic_event_t": [26, 41, 47], "basqu": 25, "bean": 18, "becam": [14, 16, 17, 21], "becaus": [7, 9, 26, 27, 32, 34, 35, 36, 40, 41, 62], "becom": [2, 7, 8, 9, 18, 21, 25, 26, 28, 39, 41, 60], "been": [0, 1, 6, 7, 9, 13, 17, 18, 19, 21, 23, 24, 25, 26, 27, 28, 32, 34, 38, 39, 41, 51, 53, 55, 56, 57, 59, 60, 62, 63, 64, 65], "beer": 36, "befor": [4, 7, 13, 15, 16, 17, 21, 25, 26, 27, 28, 32, 38, 39, 40, 41, 53, 55, 56, 57, 58, 59, 60, 62, 65], "before_run": [56, 59], "begin": [7, 13, 16, 17, 18, 19, 26, 27, 28, 39, 40, 41, 42, 43, 51, 55, 57, 60, 62], "behalf": 51, "behav": [26, 32, 41], "behavior": [19, 28, 32, 38, 39, 40, 48, 55, 56, 59], "behavioral_16": 28, "behavioral_8": 28, "behaviour": [7, 27, 59, 60], "behind": [16, 25, 26, 32, 41], "being": [7, 9, 16, 21, 23, 25, 26, 27, 32, 33, 35, 36, 39, 41, 55, 59, 60], "believ": 24, "belong": [32, 51, 58, 62], "below": [0, 7, 17, 18, 19, 21, 25, 26, 27, 28, 32, 39, 41, 51, 53, 54, 55, 60, 62], "bench": [0, 13, 38, 47, 48, 50, 55, 56, 57, 58, 59, 63, 64], "benefit": [0, 7, 24, 25, 50, 61, 64], "besid": 34, "best": [6, 16, 21, 29, 33, 53, 55, 61], "beta": [16, 19, 50, 59, 64], "beta_compon": 19, "beta_logg": [16, 19], "better": [0, 7, 9, 10, 11, 14, 16, 18, 19, 26, 28, 39, 40, 41, 50, 51, 55, 59], "between": [1, 3, 7, 9, 16, 18, 19, 24, 25, 26, 27, 28, 32, 33, 36, 39, 41, 44, 47, 48, 58, 59, 60, 62, 64], "bewar": 57, "beyond": [21, 25], "bfm": [19, 21, 26, 29, 39, 41, 59], "bg": 55, "big": [7, 25], "big_endian": 63, "bin": 38, "binari": [3, 26, 41], "bind": [33, 58], "bit": [9, 24, 25, 26, 28, 30, 32, 41, 46, 59, 60, 65], "bit_vector": 46, "bit_width": 45, "black": [33, 58, 59], "blend": 40, "block": [0, 7, 16, 18, 19, 20, 26, 27, 28, 40, 41, 51, 59, 60, 62, 65], "blog": [6, 9, 10, 11, 12, 21, 25, 26, 27, 28, 59, 60], "blue": 18, "bodi": [7, 18, 26, 40, 41, 42, 43, 44, 47, 55, 58], "boil": [16, 18], "book": 9, "bool": 58, "boolean": [7, 13, 26, 30, 31, 32, 39, 41, 45, 46, 55, 56, 59, 60, 63, 65], "born": 14, "both": [0, 7, 13, 14, 17, 25, 26, 27, 28, 32, 33, 38, 39, 40, 41, 44, 47, 50, 59, 64], "both_edg": [30, 32], "bottom": [4, 16, 27, 39], "bound": 60, "boundari": [59, 60], "box": [19, 33, 58], "bracket": [32, 39], "brain": 18, "brain_read": 18, "brain_read_msg": 18, "brain_writ": 18, "brain_write_msg": 18, "branch": [23, 33, 36, 39, 40, 59, 60], "break": [7, 19, 39, 40, 61], "breakpoint": 38, "breviti": 51, "bridg": [44, 47, 58], "briefli": 19, "bright": 55, "bring": [11, 18, 25, 26, 28, 41], "broad": 25, "broaden": 24, "broader": 28, "broken": [1, 59], "brows": [15, 39], "browser": 33, "bu": [18, 19, 26, 39, 41, 50, 51, 59, 63, 64], "bubbl": 38, "budget": 24, "buf": 63, "buffer": [44, 47, 48, 63, 64], "buffer_t": 63, "bug": [0, 1, 7, 14, 17, 26, 27, 32, 40, 41, 60], "bugfix": [40, 59], "bui": 23, "build": [0, 3, 11, 18, 20, 21, 23, 24, 25, 26, 33, 35, 36, 37, 39, 40, 41], "builder": 16, "buildthedoc": 59, "built": [0, 7, 13, 23, 33, 35, 39, 50, 51, 57, 59], "builtin": [0, 40, 58, 59, 62], "bullsey": 59, "bump": [40, 59], "bunch": 34, "bundl": [18, 21, 25, 39], "burden": [33, 34], "burst": [59, 65], "burst_length": 65, "burst_read_bu": 65, "burst_write_bu": 65, "bus_burst_read_msg": 65, "bus_burst_write_msg": 65, "bus_handl": 65, "bus_initi": 51, "bus_logg": 65, "bus_mast": 65, "bus_master_pkg": 65, "bus_master_t": 65, "bus_read_msg": 65, "bus_reference_t": 65, "bus_write_msg": 65, "busi": [7, 23], "buster": [33, 59], "button": 18, "bvul": 2, "byte": [39, 46, 47, 59, 63, 64, 65], "byte_en": 65, "byte_enable_length": 65, "byte_length": 65, "byte_t": 63, "byte_vector_prt": 59, "byte_vector_ptr": [44, 47], "bytes_per_word": [45, 63], "c": [7, 25, 32, 33, 40, 44, 47, 59, 60], "cach": [25, 37, 59], "cadenc": [0, 6, 10, 53, 59], "calcul": [7, 26, 41, 51, 60], "calculate_expected_output": [26, 27, 41], "call": [0, 7, 9, 13, 15, 16, 17, 18, 19, 25, 26, 27, 28, 32, 36, 37, 38, 39, 41, 51, 53, 55, 56, 57, 58, 59, 60, 62, 64], "callback": [44, 47, 57, 58], "caller": [17, 55, 65], "came": [6, 16, 25], "camera": 25, "can": [0, 1, 2, 3, 4, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 21, 22, 23, 24, 25, 26, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 47, 48, 50, 51, 53, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64], "candid": [18, 19], "cannot": [18, 33, 38, 40, 48, 51, 60], "capabl": [9, 19, 27, 28, 32, 39, 51, 60, 62, 63], "caption": 59, "care": [7, 19, 32, 39, 57], "carri": 27, "case": [0, 1, 3, 7, 9, 11, 12, 13, 16, 17, 19, 24, 25, 26, 27, 28, 32, 33, 39, 40, 41, 44, 47, 48, 51, 55, 57, 58, 59, 61, 62, 63], "cat": 4, "catch": 38, "categori": 34, "caus": [7, 17, 18, 25, 26, 27, 32, 39, 41, 55, 60], "cbcb543764149e78": 60, "cd": [23, 34, 35, 36, 38, 53], "cdslib": 38, "ce": 33, "central": 7, "certain": [27, 28, 39, 40], "certainli": 10, "chaeck": 32, "challeng": [7, 18, 26, 41], "chang": [0, 6, 10, 11, 15, 16, 18, 19, 25, 26, 27, 28, 32, 38, 39, 40, 41, 50, 51, 55, 57, 64], "channel": [4, 7, 21, 39, 55], "channel_1": 39, "channel_2": 39, "chapter": [7, 27, 32], "charact": [7, 26, 30, 32, 38, 39, 41, 43, 46, 51, 59], "characterist": 36, "charg": 17, "chat": [6, 9, 10, 11, 21, 24, 29, 40], "check": [0, 1, 7, 9, 11, 19, 23, 26, 27, 36, 38, 40, 41, 50, 51, 55, 57, 58, 59, 62, 63, 65], "check_": [30, 32], "check_address": 63, "check_api": 32, "check_bu": 65, "check_en": [30, 32, 59], "check_equ": [26, 27, 30, 39, 41, 57, 59, 60, 62], "check_expected_was_written": 63, "check_fail": [30, 32], "check_fals": 30, "check_impl": 30, "check_log": [19, 55], "check_logg": 30, "check_match": 30, "check_next": [30, 59], "check_no_log": 55, "check_not_unknown": 30, "check_one_hot": 30, "check_only_log": 55, "check_pass": [30, 32], "check_permiss": 63, "check_pkg": [30, 65], "check_rel": [30, 58, 59], "check_result": [30, 31, 32], "check_result_t": [30, 31, 32], "check_result_tag": 30, "check_sequ": 30, "check_stabl": [30, 59], "check_stream": 65, "check_stream_act": 27, "check_tru": [27, 30], "check_typ": 46, "check_write_data": 63, "check_zero_one_hot": 30, "checker": [0, 16, 27, 30, 47, 51, 57, 59, 64], "checker_pkg": [30, 31, 32], "checker_stat": 60, "checker_stat_t": [30, 31, 32], "checker_t": [30, 31, 32], "checkout": [23, 36], "cherri": 24, "child": [16, 19, 44, 47, 51, 55], "child_compon": 55, "children": [51, 55], "choic": 38, "choos": [18, 28, 33, 51, 62], "chosen": [28, 55, 61], "ci": [0, 23, 33, 34, 35, 36, 40, 59, 61], "cipher": 7, "circular": 59, "circumst": 27, "claim": [26, 32, 41], "clarifi": 59, "clariti": [11, 25, 51], "class": [26, 27, 40, 41, 57, 58, 59], "classic": [33, 39], "classifi": 59, "classmethod": 58, "claus": [26, 41], "clean": [7, 13, 27, 37, 38, 59, 62], "cleaner": [26, 41], "cleanup": [27, 60], "clear": [7, 16, 18, 63], "clear_expected_byt": 63, "clearli": [23, 25, 32, 36, 51], "cli": [57, 59], "click": [1, 4, 5, 11, 18, 21, 22, 23, 25, 36], "client": [16, 18, 36], "clip": [9, 10, 11, 17], "clk": [13, 26, 27, 28, 39, 41, 55], "clk_period": [28, 39], "clk_period_c": 7, "clock": [7, 26, 27, 30, 32, 41, 62], "clock_t": 32, "clone": [4, 8, 17, 37, 53, 59], "close": [17, 21, 32, 38, 40, 55, 59, 60], "closer": [26, 41], "closest": 51, "closur": 17, "cloud": 33, "cluster": 33, "cmarqu": 0, "co": [0, 59, 61], "cocotb": [2, 25], "code": [0, 1, 3, 7, 9, 11, 12, 13, 14, 17, 18, 19, 21, 24, 26, 27, 28, 29, 32, 34, 36, 38, 39, 41, 45, 46, 51, 52, 55, 56, 57, 58, 59, 60, 61, 62, 64], "codec": 7, "codec_builder_pkg": [45, 46], "codec_pkg": [45, 46], "codefresh": 33, "cohes": 27, "colin": [0, 53], "collabor": [6, 20], "colleagu": [7, 9], "collect": [14, 16, 17, 32, 51, 56, 59], "colon": [16, 51, 55, 59], "color": [19, 27, 29, 36, 38, 55, 59], "com": [0, 1, 4, 7, 8, 19, 23, 33, 35, 36, 39, 40, 48, 50, 53, 54, 59, 61], "com_context": 39, "com_logg": 39, "com_pkg": 65, "com_types_pkg": 65, "combin": [16, 21, 26, 27, 28, 32, 36, 41, 59, 60, 62], "combinatori": 28, "come": [2, 4, 7, 14, 15, 18, 19, 25, 26, 27, 28, 32, 33, 40, 41, 47, 55], "comma": [13, 55, 59], "command": [0, 1, 7, 11, 18, 25, 33, 40, 53, 56, 58, 59, 60, 62, 65], "command_msg": 18, "commandlin": 33, "comment": [1, 2, 3, 9, 10, 11, 14, 15, 16, 17, 18, 19, 20, 21, 22, 26, 32, 40, 41, 57, 59, 60], "commerci": [11, 21, 23, 24, 33], "commit": [29, 33, 40, 59], "common": [0, 7, 9, 14, 26, 27, 32, 33, 39, 41, 57, 59, 60, 62], "common_log_pkg": [55, 58], "commonli": [0, 16, 25, 32], "commun": [0, 1, 2, 7, 9, 10, 11, 16, 18, 19, 21, 23, 24, 25, 29, 33, 36, 53, 58, 59, 62], "compact": [13, 32], "compani": [7, 9, 14, 21, 25, 33, 36, 51], "compar": [7, 18, 26, 32, 37, 39, 41, 63], "comparison": [32, 59], "compat": [16, 24, 25, 39, 40, 50, 51, 53, 55, 57, 62, 64], "compil": [0, 1, 7, 9, 10, 11, 12, 13, 17, 23, 24, 26, 30, 34, 38, 39, 41, 48, 55, 57, 58, 59, 61, 62], "compile_builtin": [58, 59], "complement": [0, 4, 52], "complet": [1, 7, 9, 13, 16, 17, 18, 20, 26, 27, 32, 33, 38, 39, 41, 53, 60, 62], "complex": [7, 23, 25, 26, 28, 29, 41, 46, 48], "complex_polar": 46, "compliant": 51, "complic": [16, 23], "compon": [0, 1, 7, 16, 18, 21, 25, 26, 27, 28, 40, 51, 55, 58, 59, 63, 65], "compos": [0, 51], "composit": [13, 50], "composite_gener": 48, "comprehens": [51, 60], "comput": [9, 16, 18, 39, 60, 61, 64], "compute_expect": 57, "concaten": 17, "concentr": 4, "concept": [7, 25, 26, 27, 28, 41, 51, 55, 64], "concern": 18, "concis": [28, 40], "conclud": [18, 28], "conclus": [23, 32], "concurr": [7, 16, 18, 26, 32, 39, 41, 59], "condit": [7, 26, 27, 32, 39, 41, 55, 59, 60, 62], "condition_oper": [26, 41], "confer": 29, "config": 16, "configur": [7, 9, 12, 13, 16, 17, 18, 19, 21, 23, 24, 27, 29, 32, 34, 38, 40, 55, 56, 58, 59, 60], "confirm": [26, 27, 41], "conflict": 57, "conform": 27, "confus": [4, 13, 26, 28, 32, 41], "conjunct": 39, "connect": [18, 39, 63, 64], "consecut": [32, 62], "consequ": [1, 24, 27, 59], "consequent_expr": [30, 32], "consid": [8, 18, 25, 26, 27, 28, 32, 41, 45, 55, 60], "consist": [9, 16, 17, 21, 24, 27, 39, 58, 62], "consol": 60, "constant": [7, 13, 16, 18, 19, 26, 27, 28, 30, 31, 32, 39, 40, 41, 45, 46, 51, 55, 59, 60, 63, 65], "constrain": [0, 21, 24], "construct": [27, 28, 53], "constructor": [26, 41], "consult": [25, 61], "consum": [7, 16, 18, 27, 35, 36, 39, 55, 65], "contact": [18, 21], "contain": [1, 7, 16, 19, 23, 26, 27, 28, 32, 34, 35, 36, 38, 39, 40, 41, 44, 47, 50, 55, 56, 57, 58, 59, 60, 62], "container": 33, "contemporari": [39, 55], "content": [9, 10, 11, 14, 15, 16, 17, 18, 20, 21, 22, 25, 39, 40, 48, 50, 59], "context": [13, 26, 32, 33, 39, 41, 48, 58, 59, 60, 62], "context_msg": [30, 32], "contextu": 63, "continu": [0, 3, 4, 7, 11, 14, 17, 18, 21, 26, 28, 29, 32, 33, 34, 37, 38, 41, 52, 59, 60], "contribut": [0, 1, 21, 24, 36, 53, 59], "contributor": [0, 25, 33, 40], "control": [7, 14, 16, 17, 26, 27, 32, 38, 39, 41, 48, 55, 56, 57, 58, 59, 62, 63, 64], "control_st": [26, 41], "control_state_t": [26, 41], "conveni": [0, 7, 9, 13, 21, 24, 39, 47, 54, 55], "convent": [28, 51], "convers": [44, 47], "convert": [11, 13, 39, 55, 60, 62, 65], "cooper": 7, "coordin": [7, 26, 41, 60], "copi": [8, 17, 24, 28, 36, 38, 39, 40, 45, 46, 58, 64], "core": [0, 1, 3, 7, 9, 11, 16, 24, 26, 39, 41, 50, 60], "core_dump": [26, 41], "core_failur": 55, "core_pkg": [55, 60], "corner": [15, 18], "corpor": [9, 51], "corral": 0, "correct": [7, 27, 38, 59, 62, 63, 65], "correctli": [7, 19, 26, 27, 30, 41, 62], "correspond": [26, 27, 32, 36, 40, 41, 55, 59, 60], "cosim": [0, 37, 44, 47, 59], "could": [7, 14, 25, 26, 28, 41, 51, 55, 59], "count": [32, 55, 59], "counter": [32, 60], "counter_to_verifi": 32, "countri": 25, "coupl": [16, 25, 27, 62], "cours": [21, 25, 27, 60, 61], "cover": [7, 12, 18, 25, 40, 48, 59, 60], "coverag": [7, 27, 39, 56, 58, 59, 60], "cpu": [1, 3, 11, 38, 59], "cpu_count": 59, "craft": 51, "crash": [59, 60], "cream": 18, "creat": [0, 1, 4, 5, 7, 9, 10, 11, 13, 15, 16, 17, 19, 23, 25, 26, 27, 28, 32, 33, 36, 38, 39, 40, 41, 48, 51, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65], "create_output_path": 59, "create_random_data": 57, "create_random_sent": 7, "creation": [14, 19, 23, 36, 40, 44, 47, 51, 55, 59], "credenti": 33, "credit": [25, 59], "crisp": 18, "criteria": 62, "csv": [17, 47, 48, 55, 57, 58, 59], "csvread": 17, "cto": 61, "ctrl": 59, "ctx": 31, "cunsum": 65, "current": [6, 7, 10, 21, 25, 32, 33, 36, 37, 44, 47, 55, 56, 59, 60, 62], "custom": [1, 7, 13, 15, 16, 23, 26, 32, 34, 36, 37, 38, 41, 51, 55, 56, 58, 59], "custom_arg": 57, "cut": 25, "cycl": [1, 7, 26, 27, 32, 39, 41, 59, 61, 62], "d": [28, 32, 40], "dai": [1, 6, 25], "daili": 12, "danger": [26, 27, 28, 36, 39, 41], "dashboard": 33, "data": [0, 1, 7, 13, 17, 18, 19, 24, 26, 27, 32, 39, 41, 44, 45, 46, 48, 50, 51, 57, 58, 59, 60, 62, 63, 64, 65], "data_length": 65, "data_processing_st": [26, 41], "data_processing_state_t": [26, 41], "data_set": [17, 26, 41], "data_set_": 17, "data_set_3": 17, "data_set_idx": [26, 41], "data_typ": 48, "data_type_t": 46, "data_types_context": 48, "data_types_private_pkg": 46, "data_valid": 13, "data_width": 58, "datacheck": 57, "datatyp": 55, "date": [36, 59], "dbg": [55, 59], "deadlin": 7, "deadlock": 7, "deal": [0, 1, 18, 40], "dealloc": [17, 39, 45, 65], "deassert": 62, "debian": [33, 35, 59], "debug": [0, 1, 9, 11, 13, 16, 18, 19, 26, 27, 32, 38, 41, 51, 55, 59, 62], "debugg": [38, 59], "dec": 59, "decid": [2, 16, 24, 26, 27, 41, 59], "decim": [55, 59], "decis": [9, 16, 24, 39], "declar": [0, 7, 16, 17, 26, 28, 40, 41, 51, 55, 59], "declin": 23, "decod": [7, 13, 45, 46], "decode_queue_t": 46, "decor": [26, 27, 30, 41], "decorate_tag": 30, "decoupl": 39, "decrement": 55, "dedic": [4, 7, 21, 22, 39, 51, 55], "deepen": 29, "def": [13, 17, 26, 41, 57, 58], "default": [15, 16, 17, 19, 23, 26, 32, 36, 38, 39, 41, 44, 45, 47, 50, 51, 55, 56, 57, 58, 59, 60, 62, 63, 65], "default_check": [30, 32], "default_endian": 63, "default_log_level": [31, 32], "default_logg": 55, "defer": 55, "defin": [1, 7, 13, 16, 17, 19, 23, 24, 27, 32, 36, 37, 38, 55, 56, 57, 58, 59, 60, 62, 64, 65], "definit": [26, 40, 41, 55, 65], "delai": [7, 17, 26, 27, 39, 41, 59, 65], "delay_length": 65, "delet": [7, 18, 39, 59], "delimit": 55, "deliveri": 21, "delta": [7, 27, 39, 59], "delv": 28, "demand": 28, "demo": [18, 23], "demonstr": [15, 16, 17, 18, 28, 32, 39, 48, 62], "denot": [26, 39, 41, 57], "depart": 61, "depend": [0, 1, 9, 10, 24, 26, 32, 33, 35, 37, 38, 41, 50, 57, 58, 59, 60], "depends_on": 59, "depict": [18, 19], "deploi": 59, "deploy": [23, 59], "deprec": 40, "deprecated_log_format_t": 55, "depth": [45, 48, 50, 59], "deriv": [57, 59, 60], "descend": 16, "describ": [1, 7, 16, 18, 19, 23, 25, 26, 27, 28, 32, 39, 41, 60, 62, 63], "describe_address": 63, "descript": [7, 32, 39, 51, 57, 60], "deserv": 17, "design": [0, 1, 11, 13, 14, 16, 23, 25, 26, 27, 28, 29, 33, 37, 38, 39, 41, 51, 54, 55, 56, 59, 60, 61], "desir": [27, 32], "despit": [7, 23, 28, 32, 59, 60], "destin": 55, "detail": [0, 7, 10, 11, 16, 17, 18, 19, 22, 23, 26, 32, 33, 39, 41, 55, 57, 60], "detect": [26, 27, 32, 38, 41, 58, 59, 62, 63], "determin": [17, 26, 27, 32, 41, 51, 59, 62], "determinist": 59, "dev": 34, "dev6": 38, "develop": [0, 3, 7, 9, 11, 14, 16, 19, 21, 24, 25, 29, 34, 35, 36, 40, 55, 61, 64], "devic": [18, 26, 28, 41, 63], "dff": 28, "dff_arch": 28, "diagnost": 62, "diagram": [0, 59], "dict": [13, 17, 28, 58], "dict_t": 59, "dictat": [21, 24], "dictionari": [13, 17, 50, 51, 58, 59], "did": [2, 7, 11, 13, 16, 21, 25, 26, 32, 41, 55], "didn": [7, 9, 10, 14, 16, 17, 18, 25, 39, 55], "differ": [0, 1, 3, 7, 8, 9, 13, 15, 16, 17, 18, 24, 25, 26, 27, 28, 32, 34, 36, 37, 38, 39, 41, 48, 55, 56, 57, 59, 60, 61, 62, 64], "differenti": 15, "difficult": [26, 41], "digit": [38, 61], "dimens": [0, 47], "dimension": 17, "direct": [0, 7, 18, 21, 24, 25, 26, 28, 41, 59, 64], "directli": [3, 7, 21, 23, 26, 36, 39, 41, 44, 47, 53, 55, 56, 57, 59, 60, 63, 65], "director": 23, "directori": [1, 8, 17, 23, 33, 36, 38, 40, 53, 57, 58, 59, 60, 62], "dirnam": [17, 59], "disabl": [7, 39, 56, 58, 60], "disable_coverag": 59, "disable_ieee_warn": [56, 59], "disable_stop": 55, "discard": 59, "discourag": 36, "discov": [40, 62], "discoveri": [0, 59], "discrep": 32, "discuss": [2, 5, 9, 17, 21, 24, 26, 28, 40, 41, 51], "disp": 17, "displai": [0, 1, 16, 19, 55, 60, 62], "display_handl": [16, 19, 27, 32, 39, 55], "distinct": [27, 32, 60], "distinguish": [26, 41], "distribut": [11, 24, 25, 40], "divers": 25, "divid": [26, 32, 40, 41, 59], "divis": [0, 60], "dmitri": 61, "do": [1, 2, 3, 6, 7, 8, 9, 10, 14, 16, 18, 20, 21, 23, 24, 25, 26, 27, 32, 33, 38, 39, 40, 41, 48, 50, 51, 53, 56, 57, 58, 59, 60, 62, 65], "do_model_check": 39, "doc": [33, 36, 40, 59, 60], "docker": [33, 35, 36], "docker_runal": 33, "dockerfil": [33, 37], "document": [6, 18, 21, 23, 24, 38, 40, 55, 60], "docutil": 59, "doe": [0, 7, 24, 26, 27, 38, 39, 40, 41, 51, 58, 62, 63], "doesn": [0, 7, 8, 16, 17, 18, 21, 26, 27, 32, 39, 41, 51, 52, 55, 59, 60], "dog": 62, "domain": [40, 61], "don": [1, 6, 9, 16, 18, 19, 23, 25, 26, 32, 33, 37, 41, 55, 62], "done": [4, 6, 7, 9, 16, 17, 18, 25, 26, 27, 32, 33, 39, 41, 57, 60], "dont": 38, "door": 19, "dot": 57, "dotal": [26, 41], "down": [7, 16, 25, 60], "download": [3, 8, 9, 10, 17, 21, 24, 37, 59], "downto": [26, 28, 39, 41], "draft": 24, "drain": [26, 41], "dram": 64, "draw": 7, "drawback": [16, 28, 60], "drive": [7, 21, 26, 27, 32, 41, 59], "drive_dut": [26, 41], "driven": [26, 41], "driver": [39, 47], "drone": 33, "drop": 59, "due": [9, 21, 26, 27, 32, 35, 38, 41], "dummi": [13, 16, 27, 44, 47], "dump": [7, 13, 26, 41], "dump_debug_data": 13, "dumping_don": 13, "duplic": [28, 59], "duplict": 59, "dure": [26, 27, 32, 41, 55, 56, 57, 59, 60], "dut": [1, 7, 16, 17, 18, 26, 27, 39, 41, 51, 60, 63, 64], "dut_arch": 28, "dut_check": [26, 27, 41], "dut_checker_don": [26, 27, 41], "dut_checker_logg": [26, 27, 41], "dut_select": 28, "dv_out": 39, "dynam": [0, 7, 17, 19, 26, 34, 39, 41, 47, 48, 50, 59, 62], "e": [0, 26, 27, 28, 33, 40, 41, 53, 56, 59], "each": [1, 7, 11, 13, 18, 21, 25, 26, 27, 28, 32, 33, 35, 38, 39, 40, 41, 44, 47, 51, 55, 57, 58, 59, 60, 62, 64], "earli": [0, 21, 32, 52, 53, 59], "earlier": [7, 18, 39, 55, 59, 60], "earliest": 55, "eas": [9, 19, 34], "easi": [17, 19, 26, 38, 41, 55, 64], "easier": [7, 9, 26, 40, 41, 60, 61], "easili": [7, 34, 51], "eavesdrop": 39, "eclips": [14, 24], "ecosystem": [21, 24, 25], "edg": [26, 32, 41], "edge_t": [30, 32], "edit": [33, 53], "ee": 33, "eeckhaut": [14, 61], "effect": [9, 26, 38, 41, 57, 59, 60, 61], "effici": [7, 13, 14], "effort": [7, 20, 28, 29, 55, 59, 61], "effortless": [7, 10], "eid": [44, 47], "either": [0, 26, 32, 34, 36, 41, 51, 55, 57, 58, 60], "eksen": 21, "el": 60, "elab": 56, "elab_": [56, 59], "elab_flag": 56, "elabor": [38, 56, 58], "elaps": [13, 38, 60], "element": [7, 13, 51], "element_typ": 46, "elev": 39, "elif": [17, 26, 41], "elimin": [27, 32, 51], "els": [17, 18, 26, 28, 32, 39, 41, 51, 53, 55, 59, 60], "elsewher": [17, 23, 26, 36, 41, 60], "elsif": [18, 19, 27, 28, 39, 59, 60, 62], "emac": 24, "email": [16, 29], "embed": 61, "embrac": 24, "emerg": 2, "emit": 55, "emoji": 59, "emphas": [18, 60], "emploi": 27, "employe": 21, "empti": [8, 17, 18, 26, 27, 32, 39, 41, 55, 59, 60, 62, 63, 65], "emul": 17, "en": [30, 32], "enabl": [0, 1, 7, 16, 19, 23, 24, 26, 28, 29, 32, 39, 41, 48, 51, 55, 56, 59, 60, 61, 64, 65], "enable_check_preprocess": [32, 57, 58], "enable_coverag": 56, "enable_deferred_cr": 39, "enable_location_preprocess": [26, 41, 55, 57, 58], "encapsul": [7, 16, 18, 26, 27, 28, 41], "enclos": 39, "encod": [7, 13, 39, 45, 46, 59], "encode_queue_t": 46, "encoded_image_resolut": 13, "encoded_integer_vector": 13, "encoded_tb_cfg": 13, "encount": [7, 26, 27, 41], "encourag": [7, 9, 10, 20, 21], "encrypted_symbol_monitor": 7, "end": [7, 13, 16, 17, 18, 19, 21, 25, 26, 27, 28, 30, 31, 32, 33, 39, 40, 41, 42, 43, 45, 46, 51, 55, 57, 59, 60, 62, 63, 65], "end_ev": [30, 32], "end_of_simulation_process": 27, "endian": 63, "endianness_arg_t": 63, "endianness_t": 63, "endif": 59, "endmodul": 62, "endors": 21, "engag": 24, "engin": [7, 21, 33], "enhanc": [11, 19, 55, 59, 60], "enjoi": [0, 61], "enough": [9, 27, 33, 37, 38, 39, 48, 59], "ensur": [0, 26, 27, 32, 38, 40, 41, 45, 51, 55, 59, 60, 63], "enter": [21, 27], "enterpris": 33, "entir": [23, 27, 40, 53, 55, 57, 60, 63], "entiti": [13, 16, 17, 19, 28, 40, 51, 57, 58, 59, 60, 62, 64], "entity_nam": 40, "entri": [1, 7, 14, 16, 19, 24, 26, 27, 28, 32, 39, 40, 41, 55, 62], "entropi": 60, "entrypoint": 37, "enumer": [7, 32], "env": 62, "environ": [0, 9, 19, 21, 23, 24, 34, 35, 36, 37, 40, 53, 58, 59, 62], "envvar": 59, "eol": 59, "eos_sign": 27, "equal": [7, 9, 18, 26, 27, 38, 39, 41, 55, 60, 65], "equival": [7, 21, 26, 28, 37, 41, 51], "erlangen": 25, "error": [0, 7, 14, 17, 19, 26, 27, 28, 31, 32, 34, 38, 39, 41, 53, 55, 56, 58, 59, 62, 63, 65], "error_flag": 27, "error_if": 55, "eschemann": 61, "especi": 27, "essenti": [21, 28, 61], "establish": [7, 26, 41], "etc": [16, 23, 25, 33, 36, 37, 51, 57, 59, 60], "evalu": [21, 32, 56, 59, 60], "even": [7, 9, 10, 18, 25, 26, 27, 32, 33, 38, 39, 41, 50, 55, 57, 60], "event": [18, 23, 29, 30, 32, 36, 39, 47, 59, 60, 64], "event_common_pkg": 30, "event_pkg": [26, 41], "event_sequ": [30, 32], "event_t": [26, 39, 41, 47, 60], "eventu": [27, 60], "ever": [17, 59], "everi": [1, 7, 13, 17, 18, 21, 25, 26, 27, 28, 32, 39, 41, 55, 59, 60], "everyth": [1, 7, 18, 23, 25, 39], "evid": 25, "evolv": [26, 36, 41], "exact": [19, 26, 32, 33, 38, 41], "exactli": [15, 32], "examin": [26, 39, 41, 51], "exampl": [0, 1, 3, 7, 9, 10, 11, 13, 15, 17, 18, 19, 21, 24, 25, 26, 27, 28, 32, 33, 39, 41, 44, 47, 50, 51, 56, 58, 59, 60, 62, 64], "exce": [25, 55], "except": [0, 7, 32, 38, 39, 54, 55, 59, 60], "exchang": [7, 39], "exclud": [18, 24, 26, 28, 32, 41, 58], "exclude_dependent_on": 58, "exclude_dependent_on_file_pattern": 58, "exclude_subprogram": 58, "exclus": 28, "exec": 59, "execut": [0, 1, 7, 14, 18, 21, 22, 25, 27, 32, 33, 34, 36, 38, 40, 44, 47, 56, 58, 59, 60, 62], "exemplifi": 39, "exercis": 7, "exhaust": 37, "exist": [9, 16, 17, 24, 26, 32, 36, 37, 40, 41, 51, 55, 57, 58, 59, 61, 62], "exit": [27, 33, 38, 58, 60, 62], "expand": [21, 39, 51, 59], "expans": 59, "expect": [7, 17, 18, 19, 26, 27, 30, 32, 39, 40, 41, 44, 47, 48, 55, 57, 59, 60, 62, 63, 64, 65], "expected_was_written": 63, "expens": [26, 41, 55], "experi": [7, 9, 18, 26, 41], "experiment": [0, 44, 47, 53, 59], "expir": [26, 41], "explain": [0, 7, 18, 25, 26, 27, 36, 41], "explan": [26, 41], "explicit": [26, 41, 48, 51, 57, 58, 59], "explicitli": [7, 19, 24, 37, 38, 39, 50, 51, 55, 58, 59], "explict": 39, "explor": [24, 25], "export": [0, 57, 59], "export_format_vers": 38, "export_json": 38, "expos": [27, 32, 39, 44, 47, 57, 59], "expr": [30, 32], "express": [26, 32, 41, 55], "ext": 33, "extacc": [44, 47], "extend": [0, 20, 24, 26, 28, 33, 39, 41], "extens": [9, 59, 61], "extern": [13, 18, 26, 27, 28, 40, 41, 44, 48, 51, 58, 59, 60, 62, 63], "external_integer_vector_pkg": 42, "external_string_pkg": 43, "extfnc": [44, 47], "extintvec_access_t": 42, "extra": [1, 7, 11, 13, 23, 26, 32, 38, 39, 41, 55, 56, 59], "extract": 35, "extstring_access_t": 43, "f": [13, 17, 26, 27, 28, 33, 38, 40, 41, 51, 55, 59, 60], "face": [25, 26, 41], "facilit": [26, 41], "fact": [7, 16, 27, 40, 64], "fae": 14, "fail": [1, 7, 17, 19, 26, 27, 38, 39, 40, 41, 44, 47, 55, 57, 58, 59, 62], "fail_on_non_hdl_fil": 59, "fail_on_warn": [55, 56, 59, 60], "failing_check": 31, "failru": 55, "failur": [26, 27, 32, 38, 39, 41, 42, 43, 44, 47, 55, 56, 57, 59], "failure_if": 55, "fairli": [17, 24], "faith": 17, "fall": [34, 55], "falling_edg": [30, 32], "fals": [7, 13, 17, 26, 30, 38, 39, 41, 42, 43, 44, 45, 47, 51, 55, 56, 58, 59, 60, 62, 63, 65], "famili": 61, "familiar": [23, 24, 26, 41], "faq": 29, "far": [7, 18, 21, 25, 26, 32, 39, 41, 60], "fast": [7, 13, 38, 59], "faster": [7, 59], "fastest": [35, 37], "fatal": [0, 38, 55], "faulti": [26, 41], "favor": [57, 59], "favorit": 3, "favour": 59, "fb19f3cca859d69c": 60, "fclose": 17, "featur": [1, 7, 9, 13, 14, 21, 24, 27, 28, 32, 34, 35, 38, 39, 40, 44, 47, 48, 50, 52, 55, 61], "feed": 7, "feedback": [1, 14, 19, 21, 28, 40, 50, 64], "feel": 27, "felt": [14, 25], "few": [0, 1, 2, 6, 7, 15, 16, 17, 23, 25, 26, 33, 38, 41, 51, 60], "fewer": 32, "fg": 55, "field": [26, 39, 41, 44, 45, 47, 55, 57, 61, 65], "fifo": [47, 48, 50, 59], "fig": 17, "figur": [1, 7, 10, 16, 17, 18, 23, 25, 32], "figure_titl": 17, "file": [0, 1, 7, 8, 9, 10, 16, 17, 21, 23, 26, 34, 36, 38, 40, 41, 44, 47, 48, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64], "file_nam": [17, 26, 30, 31, 32, 38, 41, 45, 55, 58], "file_open_kind": 46, "file_open_statu": 46, "file_pkg": 55, "file_typ": 58, "filenam": [58, 62], "filesystem": 57, "fill": [62, 63], "filter": [1, 38, 59], "final": [7, 13, 18, 25, 32, 39, 60], "final_log_check": 55, "find": [0, 4, 7, 9, 10, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 26, 32, 33, 39, 41, 55, 59, 60], "findit": [26, 41], "fine": 27, "finer": 28, "finish": [34, 62, 65], "first": [0, 3, 7, 9, 10, 12, 14, 15, 16, 17, 18, 21, 23, 24, 25, 26, 27, 32, 36, 38, 39, 40, 41, 58, 59, 60, 62, 63], "first_no_pip": [30, 32], "first_pip": [30, 32], "fit": [25, 27, 33], "five": [11, 32, 37], "fix": [7, 11, 21, 26, 39, 40, 41, 51, 60], "fixed_pkg": 59, "flag": [27, 38, 53, 56, 59, 60], "flaw": [14, 17], "flexibl": [16, 51, 59], "flip": 28, "flop": 28, "floss": 36, "flow": [23, 32, 33, 39, 40, 55, 56, 59], "flush": [46, 55], "fly": 60, "fmt": [38, 59], "focal": 27, "focu": [2, 14, 16, 18, 28, 60], "focus": [7, 20, 21, 24, 25, 40], "folder": [33, 38, 56, 58], "follow": [0, 1, 4, 7, 9, 11, 18, 19, 21, 23, 26, 27, 32, 36, 38, 39, 40, 41, 51, 53, 54, 55, 56, 57, 58, 60, 63], "font": [0, 54], "foo": [40, 58], "foolproof": [26, 41], "fopen": 17, "forbidden": 59, "forc": [19, 38, 44, 47, 60], "foreground": 55, "foreign": [44, 47], "forget": [26, 27, 32, 41], "forgot": 7, "fork": [36, 37, 59], "form": [1, 17, 18, 21, 26, 38, 39, 40, 41, 62], "format": [0, 1, 13, 38, 39, 40, 51, 59], "formatt": [16, 55, 59], "former": 7, "forse": 38, "forth": 28, "fortun": [18, 26, 27, 41, 51], "forum": [21, 24, 25], "forward": [7, 14, 24, 25, 57, 59], "foss": [3, 6], "foster": 24, "found": [0, 1, 7, 17, 24, 25, 26, 28, 32, 36, 38, 39, 40, 41, 48, 51, 55, 56, 58, 59, 60, 62], "found_receiv": 39, "foundat": 60, "founder": [0, 14, 61], "four": [7, 28, 32, 39], "fpga": [25, 28, 29, 59, 61], "fragment": 40, "frame": 59, "framework": [0, 1, 3, 7, 9, 15, 16, 19, 25, 26, 28, 29, 33, 37, 39, 40, 41, 58, 59, 61], "free": [1, 11, 17, 21, 25, 27, 29, 33, 34, 36, 51], "freebsd": 33, "freeli": [15, 16, 21, 40], "frequent": 1, "friendli": [18, 19, 23], "from": [1, 2, 3, 4, 7, 8, 9, 13, 16, 17, 19, 20, 21, 23, 24, 25, 26, 27, 28, 32, 33, 35, 37, 38, 40, 41, 44, 47, 48, 50, 51, 53, 55, 56, 57, 58, 59, 60, 62, 63, 64, 65], "from_arg": [57, 58], "from_argv": [17, 24, 26, 32, 38, 39, 41, 55, 57, 58, 62], "front": [7, 9, 21, 27], "frustrat": [14, 36], "fst": [38, 59], "fulfil": 17, "full": [7, 9, 11, 17, 19, 29, 32, 35, 39, 40, 51, 53, 55, 57, 60, 62], "full_coverag": 27, "full_nam": 51, "full_time_resolut": 55, "fullfil": 17, "fulli": [9, 16, 21, 22, 23, 25, 26, 27, 28, 33, 41, 53, 60], "function": [0, 1, 4, 7, 11, 13, 16, 17, 18, 19, 24, 25, 26, 27, 28, 30, 31, 32, 34, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 50, 51, 52, 53, 55, 57, 58, 59, 60, 62, 63, 65], "fundament": 28, "furo": 59, "furter": 32, "further": [0, 18, 20, 23, 26, 36, 37, 41, 48, 51, 55], "furthermor": [32, 34, 40], "futur": [18, 19, 24, 27, 39, 55, 57, 59, 65], "future1": 39, "future2": 39, "g": [0, 38], "gain": [23, 51], "game": 33, "gate": 59, "gave": [22, 25, 26, 41], "gcc": [33, 53, 56, 59], "gener": [0, 1, 7, 9, 16, 17, 19, 21, 24, 25, 26, 27, 29, 32, 33, 38, 40, 41, 50, 51, 55, 56, 57, 58, 59, 64], "generate_codec": 7, "generate_test": [48, 59], "germani": 25, "get": [1, 3, 7, 9, 11, 13, 14, 17, 18, 19, 20, 23, 24, 26, 29, 32, 35, 36, 38, 39, 40, 41, 45, 53, 55, 58, 59, 60], "get_actor_st": 39, "get_actor_state_str": 39, "get_and_increment_reference_count": 32, "get_checker_stat": [30, 31, 32, 60], "get_child": [51, 55], "get_compile_opt": [57, 58], "get_compile_ord": [57, 58], "get_default_log_level": 31, "get_entry_kei": [27, 60], "get_expected_byt": 63, "get_file_nam": 55, "get_format": 55, "get_full_nam": 55, "get_id": [26, 39, 41, 51, 55], "get_implementation_subset": [57, 58, 59], "get_integer_se": 60, "get_intvec_ptr": 42, "get_invisible_log_level": 55, "get_librari": [57, 58], "get_log_count": 55, "get_log_handl": 55, "get_logg": [16, 19, 26, 30, 31, 32, 41, 51, 55, 63, 65], "get_mailbox_st": 39, "get_mailbox_state_str": 39, "get_messag": 39, "get_messenger_st": 39, "get_messenger_state_str": 39, "get_msg_typ": 7, "get_nam": 55, "get_output_sampl": 17, "get_par": [51, 55], "get_permiss": 63, "get_ptr": [42, 43], "get_repli": 39, "get_report": [57, 58], "get_se": 60, "get_signed_se": 60, "get_simulator_nam": [57, 58, 59], "get_source_fil": [55, 57, 58], "get_stop_count": 55, "get_string_ptr": 43, "get_string_se": 60, "get_test": [57, 58, 59], "get_test_bench": [57, 58], "get_tre": [26, 41, 51], "get_uniform_se": 60, "get_unsigned_se": 60, "get_visible_log_level": 55, "getargspec": 59, "gh": 59, "gha": [23, 36], "ghcr": 59, "ghdl": [0, 1, 8, 10, 11, 23, 29, 33, 35, 36, 37, 40, 53, 56, 58, 59, 60], "ghdl_e": 59, "ghw": 38, "git": [4, 24, 29, 40, 53, 59], "github": [0, 4, 8, 20, 23, 24, 25, 33, 35, 37, 40, 53, 59, 60, 62], "gitignor": 59, "gitlab": 33, "gitter": [5, 6, 24, 40], "give": [7, 9, 10, 21, 24, 25, 27, 32, 39, 40, 53, 58, 60, 63], "given": [7, 19, 27, 32, 38, 39, 40, 51, 58, 62, 64], "glimps": 39, "glob": 59, "global": [7, 16, 53, 55, 56, 60], "global_flag": [56, 59], "global_se": 60, "gmail": [0, 18, 40, 54], "gnu": [23, 33, 36, 40, 53], "go": [1, 11, 16, 21, 24, 25, 26, 27, 33, 36, 41, 55], "goal": 10, "goe": [7, 19, 27], "good": [17, 18, 21, 27, 39, 51, 60, 62], "got": [7, 17, 26, 27, 30, 32, 41, 55, 57, 60], "grace": 59, "gracefulli": 60, "granular": 28, "graph": [0, 17], "graphic": 53, "grasp": 7, "great": [16, 20, 39, 61], "greater": 55, "greatest": 25, "green": [18, 55], "group": [1, 26, 34, 41, 50, 61], "grow": 17, "gt": [26, 27, 28, 41, 51, 55, 60], "gtkwave": [33, 38, 53, 56, 59], "gtkwave_script": 59, "gui": [0, 1, 9, 18, 33, 56, 59, 61], "guid": [0, 1, 7, 18, 19, 26, 27, 38, 41, 50, 57, 59], "gunnar": 61, "h": [26, 32, 38, 41], "ha": [0, 1, 6, 7, 9, 10, 13, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 32, 34, 38, 39, 40, 41, 48, 51, 53, 55, 56, 57, 59, 60, 61, 62, 63, 64, 65], "had": [2, 3, 7, 16, 17, 23, 24, 25, 27, 39, 51], "half": [7, 18, 23, 26, 41], "halt": 27, "hand": [7, 19, 23, 26, 27, 32, 39, 41, 55], "handl": [1, 7, 11, 15, 16, 18, 19, 26, 27, 28, 29, 32, 33, 38, 39, 41, 48, 51, 55, 56, 59, 60, 64, 65], "handle_messag": 39, "handle_sync_messag": 65, "handle_wait_for_tim": 65, "handle_wait_until_idl": [39, 65], "handler": [16, 32], "hang": [25, 39], "happen": [7, 16, 18, 26, 27, 39, 41, 55, 60], "hard": [7, 9, 23, 25], "hardcod": 17, "harder": [3, 18], "hardli": 9, "hardwar": [23, 33, 34], "has_expected_byt": 63, "has_id": 51, "has_logg": [51, 55], "has_messag": 39, "has_stop_count": 55, "hash": [38, 59, 60], "hasn": [39, 51, 60], "hassl": [13, 33], "have": [0, 1, 2, 6, 7, 8, 9, 10, 11, 13, 14, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 32, 34, 36, 37, 38, 39, 40, 41, 51, 53, 55, 56, 57, 59, 60, 62, 63, 64, 65], "haven": [11, 19, 21, 32, 51], "hdl": [0, 1, 3, 10, 23, 26, 34, 35, 36, 37, 38, 40, 41, 53, 55, 56, 59, 61, 62], "hdl_librari": 62, "hdlvar": 38, "he": [7, 16, 25, 55], "head": [18, 56], "header": [40, 59], "headless": 34, "healthi": 24, "heap": 56, "heap_siz": 56, "hear": [2, 9], "heat": 18, "heavili": 2, "height": [7, 45], "held": 59, "hello": [7, 16, 38, 55, 62], "help": [11, 17, 23, 26, 32, 36, 38, 39, 41, 59, 61], "henc": [23, 33, 34, 36, 44, 47], "hendrik": [14, 61], "here": [7, 9, 10, 11, 13, 16, 17, 23, 25, 26, 28, 32, 33, 41, 56, 57, 59, 60, 62], "hex": 38, "hi": [25, 40], "hidden": [1, 16, 19, 26, 27, 41], "hide": [7, 16, 18, 26, 39, 41, 55], "hide_al": 55, "hiearchic": 59, "hierarch": [16, 26, 41, 51, 55, 59], "hierarchi": [0, 1, 16, 19, 26, 41, 51, 55], "high": [0, 1, 7, 17, 18, 25, 27, 31, 32, 39, 50, 59, 60, 65], "higher": [7, 39, 53, 55, 56, 59], "highli": [9, 21, 28, 60], "highlight": 28, "hindranc": 25, "hint": 59, "histor": 25, "histori": [8, 24], "hoc": 7, "hold": [7, 17, 32], "homepag": [1, 25], "homogen": 36, "honest": 10, "hood": 16, "hook": 59, "hope": [19, 20, 25], "hopefulli": [16, 17], "host": [24, 33, 37], "hotspot": 25, "hottest": 25, "hour": 0, "hous": 33, "housekeep": [27, 60], "how": [0, 7, 9, 10, 11, 15, 16, 17, 18, 19, 21, 24, 25, 26, 27, 28, 32, 33, 39, 40, 41, 48, 51, 57, 59, 60, 62], "howev": [4, 7, 9, 11, 14, 16, 17, 18, 19, 23, 24, 25, 26, 27, 28, 32, 33, 34, 36, 37, 38, 39, 41, 48, 50, 51, 53, 60, 62], "html": [36, 40, 59, 62], "htmlcov": 40, "http": [4, 8, 23, 36, 40, 53, 59, 62], "hub": 33, "human": 59, "hyphen": 59, "i": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 21, 22, 23, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65], "icon": [4, 5, 36, 59], "id": [7, 14, 21, 38, 39, 42, 43, 51, 55, 59], "id_pkg": [51, 55], "id_t": [39, 51, 55], "idea": [1, 7, 25, 26, 27, 40, 41], "ideal": [7, 36], "ident": [26, 32, 38, 39, 41, 55, 59, 60], "identif": [19, 51], "identifi": [18, 19, 26, 28, 39, 40, 41, 44, 47, 51, 60], "idl": [26, 39, 41, 59, 65], "idx": [45, 55, 65], "ieee": [7, 30, 39, 46, 55, 56, 59, 60, 63, 65], "ifdef": 59, "ifndef": 59, "ignor": [7, 18, 26, 32, 33, 39, 41, 55, 59], "ignorecas": [26, 41], "illustr": [0, 27, 28, 51, 54], "imag": [3, 13, 26, 32, 35, 37, 41, 59], "image_height": 13, "image_resolut": 13, "image_width": 13, "imagenam": 33, "immedi": [18, 24, 26, 27, 38, 39, 41, 57, 65], "immin": 27, "implement": [7, 16, 17, 18, 25, 26, 27, 28, 32, 36, 41, 44, 47, 50, 55, 58, 59, 60, 64, 65], "implic": 39, "implicitli": [32, 50], "import": [7, 9, 15, 16, 18, 19, 24, 26, 27, 39, 40, 41, 53, 55, 57, 58, 59, 60, 62], "importerror": 53, "impos": 0, "impress": 25, "improv": [0, 4, 6, 11, 12, 16, 18, 19, 21, 24, 25, 26, 29, 40, 50, 59], "impur": [13, 30, 31, 32, 42, 43, 45, 46, 55, 63, 65], "inadequ": 25, "inadvert": 28, "inbound": 39, "inbox": [18, 19, 39], "inbox_s": 39, "inc": [0, 40, 54], "incis": [0, 6, 10, 53, 56], "incisive_vhdl_fixup": 53, "includ": [0, 7, 8, 16, 17, 18, 21, 24, 25, 26, 32, 33, 36, 38, 39, 41, 44, 47, 50, 53, 55, 58, 59, 60, 62, 64], "include_children": 55, "include_dependent_on": 58, "include_dependent_on_file_pattern": 58, "include_dir": [58, 59], "incom": [7, 18, 19, 39], "inconveni": 3, "incorpor": [27, 28], "incorrect": 63, "increas": [0, 7, 9, 17, 26, 28, 32, 41, 59], "increment": [0, 1, 9, 10, 11, 26, 32, 41, 62], "increment_with": 32, "indata": 39, "indefinit": [7, 60], "independ": [0, 21, 26, 39, 41, 55, 62], "index": [40, 45, 46, 51, 59, 60, 65], "indic": [9, 21, 26, 27, 39, 41, 59, 60], "indiffer": 7, "indirectli": 16, "individu": [9, 16, 19, 21, 26, 41, 55, 57, 58, 59, 62], "industri": 61, "inequ": 32, "inevit": 13, "infer": 27, "infinit": [39, 55], "info": [0, 1, 15, 16, 19, 26, 32, 37, 38, 41, 55, 59, 60], "inform": [0, 1, 3, 4, 7, 13, 16, 19, 24, 26, 27, 32, 38, 40, 41, 48, 55, 58, 59, 60, 62, 63], "ingredi": 28, "inher": 39, "inherit": [16, 55], "inhibit": 59, "ini": [38, 59], "init": [8, 18, 38, 59], "init_fil": [56, 59], "initi": [1, 13, 18, 19, 24, 26, 27, 28, 29, 32, 39, 41, 51, 53, 59, 60, 62], "initial_lf": 51, "inner": [17, 26, 41], "inout": [30, 39, 45, 46, 65], "input": [1, 7, 17, 25, 26, 32, 39, 41, 57, 58, 59, 62, 63], "input_data": 57, "ins": 0, "insensit": [40, 59], "insert": [1, 13, 26, 39, 41, 58], "insid": [18, 33, 62], "insight": [23, 25, 26, 28, 41], "inspect": [19, 39, 59], "inspir": [16, 17, 18], "instal": [9, 10, 11, 12, 21, 23, 33, 34, 35, 37, 38, 40, 59, 62], "instanc": [19, 28, 33, 51, 55, 57, 58, 59, 62, 64, 65], "instance_nam": 51, "instant": 14, "instanti": [16, 28, 51, 58, 59, 64], "instead": [4, 7, 8, 16, 18, 24, 25, 26, 28, 32, 39, 41, 48, 50, 51, 53, 56, 57, 58, 59, 60], "instinct": 7, "instruct": [18, 59], "instructor": 61, "int": 57, "int2str": 17, "integ": [0, 7, 13, 17, 26, 30, 31, 32, 39, 41, 43, 44, 45, 46, 47, 50, 55, 58, 60, 63], "integer_arrai": 59, "integer_array_pkg": [17, 45, 46], "integer_array_t": [17, 45, 46, 47, 48, 59, 63], "integer_array_vec_t": 45, "integer_vector": [13, 39, 44, 47, 60], "integer_vector_ptr": [44, 47, 59], "integer_vector_ptr_pkg": [30, 31, 45, 46, 55, 63], "integer_vector_ptr_t": [31, 45, 46, 55, 63], "integr": [0, 1, 7, 11, 14, 21, 22, 24, 25, 28, 29, 33, 34, 37, 38, 59], "intend": [17, 26, 27, 32, 41, 55, 58], "intent": [7, 39], "inter": 18, "interact": [0, 3, 16, 19], "interest": [2, 7, 9, 16, 18, 20, 21, 26, 27, 36, 39, 41, 53], "interfac": [0, 16, 18, 19, 24, 26, 28, 41, 48, 56, 58, 60, 62, 65], "intermedi": 32, "intern": [17, 26, 27, 32, 38, 39, 40, 41, 44, 47, 50, 55, 59, 60, 62], "interpret": [34, 39, 40], "interrupt": 32, "intersphinx": 59, "intial": [26, 41], "intimid": 9, "intro": 37, "introduc": [1, 9, 14, 16, 19, 21, 25, 27, 28, 33, 39, 44, 47, 59, 60], "introduct": [0, 3, 16, 22, 25, 28, 29, 57], "intuit": 27, "invalid": 59, "invest": 21, "investig": 32, "invis": 55, "invok": [27, 51, 59, 62], "involv": [2, 7, 21, 27, 28, 32, 60], "io": [4, 35, 36, 59, 62], "ip": [23, 27, 28, 51, 59], "irrelev": 55, "irun": 56, "irun_sim_flag": 56, "irun_verilog_flag": 56, "irun_vhdl_flag": 56, "is_act": [26, 27, 41, 60], "is_active_msg": [26, 27, 41], "is_dis": 55, "is_empti": [26, 27, 41, 46, 59], "is_mock": 55, "is_nul": 45, "is_original_pkg": 55, "is_pass": 31, "is_pass_vis": 31, "is_sign": 45, "is_vis": 55, "is_within_gates_of": 27, "island": 25, "isn": [0, 7, 16, 25, 26, 32, 39, 41], "isol": [25, 38], "isolated_build": 59, "issu": [0, 1, 3, 7, 20, 23, 26, 27, 28, 32, 36, 40, 41, 51, 55, 59, 60], "item": [38, 55, 58], "iter": [7, 28, 39, 60], "itertool": 28, "its": [0, 1, 6, 7, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 21, 22, 24, 25, 26, 27, 32, 38, 39, 41, 51, 55, 57, 58, 59, 60, 62, 63], "itself": [7, 15, 16, 17, 26, 32, 39, 41, 51, 62], "j": 61, "jasinski": 61, "javascript": [36, 37], "jenkin": [0, 11, 33, 34, 38, 59, 61], "jit": 59, "job": [23, 25, 36, 37, 59], "join": [5, 13, 17, 26, 41, 57, 59], "json": [0, 54, 57, 58, 59], "json4vhdl": [48, 50], "june": 1, "junit": [0, 59], "just": [1, 3, 6, 7, 9, 13, 15, 16, 17, 20, 23, 25, 26, 27, 32, 33, 39, 41, 55, 57, 58, 60], "k": [38, 59], "keep": [7, 8, 16, 19, 23, 24, 26, 27, 28, 32, 36, 38, 39, 40, 41, 55, 59, 60], "kei": [13, 17, 18, 25, 26, 27, 41, 58, 60], "kept": [7, 16, 55], "key_t": [27, 60], "keyerror": 58, "keyless": 27, "keyword": [28, 40], "khai": 61, "kind": [9, 33], "kindergartn": 7, "knew": 14, "know": [1, 7, 16, 17, 18, 26, 32, 38, 39, 40, 41, 51, 55, 60], "knowledg": [7, 32, 39], "known": [7, 14, 17, 27, 33, 53, 55, 56, 60, 62], "kraigher": [0, 61], "kulanov": 61, "l": [13, 32, 38, 40], "lab": [7, 61], "label": [16, 17, 26, 32, 36, 41, 51, 59, 60], "labrari": 58, "lack": [7, 14, 18, 27, 51, 62], "lambda": [26, 41], "languag": [14, 18, 25, 34, 36, 37, 44, 47], "lar": [0, 4, 5, 40, 54], "larg": [0, 21, 25, 26, 41, 59], "larger": [2, 7, 26, 32, 41], "larsasplund": 0, "last": [0, 1, 2, 7, 10, 18, 21, 25, 26, 27, 32, 41, 51, 53, 55, 59, 60, 62, 65], "last_address": 63, "late": [17, 32], "latenc": [7, 26, 41, 59], "later": [7, 16, 17, 18, 24, 26, 32, 39, 41, 60], "latest": [1, 16, 17, 21, 23, 28, 32, 33, 35, 36, 37, 53], "latin": 59, "latter": [7, 13, 26, 41], "launch": [0, 1, 38], "layout": [16, 55], "lead": [9, 13, 26, 27, 32, 33, 36, 40, 41, 51], "leak": [18, 39, 59], "leap": 21, "learn": [9, 16, 17, 19, 25, 33, 58], "least": [9, 23, 27, 36, 39], "leav": [16, 17, 18, 38], "led": [25, 26, 28, 41], "left": [5, 25, 27, 32, 62], "leftmost": [32, 39], "legaci": [11, 58], "legal": [16, 60], "lehmann": [0, 54], "length": [7, 17, 26, 41, 45, 46, 55, 59, 60, 65], "less": [7, 9, 10, 11, 16, 18, 23, 24, 27, 55, 56, 60, 65], "let": [2, 7, 13, 16, 17, 18, 25, 26, 27, 28, 32, 38, 39, 40, 41, 55], "letter": 7, "level": [0, 1, 7, 15, 16, 17, 24, 26, 27, 28, 29, 30, 31, 32, 33, 38, 39, 41, 48, 50, 56, 57, 58, 59, 60, 62, 64], "leverag": [28, 51, 61], "lf": [51, 60], "lib": [17, 28, 38, 55, 58, 60, 62], "lib_nam": 58, "libari": 58, "librari": [0, 1, 7, 8, 13, 16, 18, 19, 21, 30, 38, 40, 46, 54, 57, 59, 62, 63, 64, 65], "library_nam": [38, 58], "librarylist": [57, 59], "licens": [6, 11, 33, 34, 36, 40, 52, 54, 55, 59], "license_info": 55, "license_queu": 59, "life": 59, "lift": 16, "lightweight": [1, 33], "like": [1, 2, 4, 7, 11, 13, 14, 15, 16, 17, 20, 21, 24, 25, 26, 27, 32, 35, 39, 40, 41, 50, 55, 59, 60, 61, 62, 64], "limit": [1, 7, 13, 16, 17, 18, 24, 32, 36, 38, 39, 53, 56, 59, 60], "line": [0, 1, 9, 11, 12, 13, 17, 18, 21, 29, 39, 51, 53, 55, 56, 58, 59, 60, 62], "line_num": [30, 31, 32, 55, 58], "line_vector": 59, "linefe": 51, "liner": 24, "lines_t": 13, "link": [4, 18, 28, 39, 41, 55], "linkedin": [1, 2, 3, 4, 9, 10, 11, 12, 14, 15, 16, 17, 18, 20, 21, 22], "lint": [40, 59], "linter": 59, "linux": [23, 33, 36, 40, 53], "list": [0, 13, 17, 18, 20, 23, 26, 27, 28, 32, 36, 37, 38, 39, 40, 41, 44, 47, 53, 55, 56, 57, 58, 59, 60, 62, 64], "listening_to_vhdl_ev": [26, 41], "listening_to_vhdl_transact": [26, 41], "lite": [48, 59, 64], "liter": [59, 60], "littl": 27, "little_endian": 63, "live": 16, "ll": [7, 11, 18, 26, 41, 60], "llvm": [33, 37, 53, 59], "load": [3, 38, 48, 56, 59], "load_csv": 45, "load_data_from_fil": [26, 41], "load_raw": 45, "local": [1, 7, 8, 17, 33, 36, 40, 51, 55, 59], "local_declar": 51, "local_se": 60, "locat": [0, 1, 4, 7, 13, 17, 23, 24, 26, 28, 36, 38, 39, 41, 48, 51, 57, 58, 59, 60, 62], "location_pkg": [31, 55], "lock": [59, 60], "log": [0, 1, 16, 24, 26, 27, 28, 29, 30, 34, 38, 41, 50, 51, 58, 59, 62], "log_act": [26, 27, 41], "log_deprecated_pkg": 59, "log_destin": 55, "log_destination_path": 55, "log_failing_check": 31, "log_format": 55, "log_format_t": 55, "log_handl": 55, "log_handler_t": 55, "log_handler_vec_t": 55, "log_level": 55, "log_level_t": [30, 31, 32, 55], "log_level_vec_t": 55, "log_levels_pkg": [30, 31, 55], "log_messag": [26, 41], "log_passing_check": 31, "log_sequence_numb": 55, "log_source_line_numb": 55, "log_source_nam": 55, "log_source_path": 55, "log_tim": 55, "log_time_unit": 55, "logger": [0, 1, 15, 16, 19, 26, 27, 31, 32, 39, 41, 51, 55, 60, 63, 65], "logger_init": 55, "logger_nam": [31, 32], "logger_pkg": [30, 31, 63, 65], "logger_t": [16, 19, 26, 30, 31, 32, 41, 55, 63, 65], "logger_vec_t": 55, "logic": [7, 21, 32, 38, 51, 59], "logo": [0, 54], "long": [0, 7, 21, 25, 27, 32, 55, 59, 60, 62], "longer": [3, 7, 25, 26, 39, 41, 51, 59, 60], "look": [7, 10, 11, 13, 14, 16, 18, 21, 22, 25, 26, 27, 32, 39, 40, 41, 55, 60, 62], "lookup": 59, "loop": [7, 13, 14, 16, 17, 26, 27, 28, 39, 41, 59, 60, 62], "lose": [26, 39, 41], "lost": [7, 18, 26, 27, 41], "lot": [7, 20, 21, 61], "low": [7, 11, 25, 26, 27, 32, 41, 42, 45, 59, 63], "lower": [24, 26, 40, 41, 59, 60, 61], "lower_limit": 45, "lowest": [26, 41, 58], "lstrip": [26, 41], "lt": [26, 27, 28, 41], "lunch": 21, "m": [2, 7, 14, 16, 17, 18, 24, 25, 38, 40, 55, 59, 60], "mac": 53, "machin": [0, 23, 25, 34, 36, 40, 55], "maco": [23, 33, 35, 36], "macro": [58, 59, 62], "made": [0, 3, 7, 8, 9, 11, 15, 17, 24, 25, 27, 32, 39, 40, 48, 55, 59, 63], "magic": 32, "mai": [7, 9, 10, 11, 14, 15, 16, 17, 18, 20, 21, 22, 23, 26, 27, 28, 32, 38, 39, 40, 41, 50, 51, 53, 55, 56, 57, 58, 59, 60, 62, 65], "mail": 4, "mailbox": 39, "mailbox_st": 39, "main": [7, 16, 17, 19, 26, 27, 28, 38, 39, 41, 44, 47, 57, 58, 59, 60, 62, 64], "main_logg": [16, 19], "mainli": 36, "maintain": [0, 7, 16, 21, 38, 39, 40, 53, 60, 61], "major": [15, 18, 19, 26, 38, 40, 41, 48, 59], "make": [1, 3, 7, 9, 11, 15, 16, 17, 18, 19, 21, 23, 24, 25, 26, 27, 29, 30, 32, 36, 38, 39, 41, 44, 47, 53, 55, 56, 59, 61, 62, 63, 64, 65], "make_pre_config": 17, "makefil": 37, "manag": [7, 16, 17, 21, 23, 26, 35, 39, 40, 41, 58, 61], "mandatori": [17, 27, 55], "mani": [0, 1, 7, 9, 11, 13, 16, 17, 18, 19, 21, 24, 25, 26, 27, 33, 34, 39, 41, 55, 57, 59, 60, 62], "manipul": 62, "manner": 53, "manual": [1, 11, 17, 28, 34, 36, 38, 39, 40, 51, 58], "many_kei": 59, "map": [13, 16, 18, 19, 25, 28, 38, 51, 55, 58, 59, 60, 62, 64], "march": 25, "margin": [26, 38, 41], "mark": [26, 41, 59, 62], "markdown": 36, "market": 23, "marketplac": [23, 36], "marquardt": [0, 53], "marshmallow": 7, "martinez": 0, "master": [19, 33, 39, 40, 48, 59, 63, 64], "master_channel": 39, "master_msg": 39, "match": [39, 40, 51, 55, 58, 59, 60, 62], "materi": 25, "math_complex": 46, "math_real": [46, 60], "mathemat": 39, "matlab": [13, 25, 29], "matplotlib": 33, "matter": [27, 28, 32, 33], "matur": 18, "max": [26, 41, 55], "max_diff": [30, 32, 59], "max_lat": [26, 41], "max_logger_name_length": 55, "max_sentence_length_c": 7, "max_timeout": 65, "maximum": [26, 39, 41, 56], "mayb": [2, 13, 25, 39, 60], "mcode": [33, 53, 59], "md": [23, 37, 59], "me": [7, 9, 14, 17, 18, 25], "mean": [1, 7, 10, 16, 17, 18, 26, 28, 32, 35, 39, 41, 50, 51, 55, 58, 59, 62, 63, 64], "meant": 33, "meantim": 40, "measur": [18, 40], "mechan": [0, 1, 7, 25, 26, 39, 41, 50, 55, 59], "medium": [7, 18], "meet": 25, "member": [7, 59], "memori": [18, 19, 28, 39, 44, 47, 48, 59, 64], "memory_bfm_pkg": 39, "memory_ip": 55, "memory_logg": 63, "memory_pkg": 63, "memory_t": 63, "memory_utils_pkg": 63, "memorypkg": 59, "mention": [7, 26, 27, 41], "mentor": [23, 25, 53], "merg": [40, 58, 59], "merge_coverag": [57, 58], "merit": 60, "mess": 9, "messag": [1, 15, 16, 18, 25, 26, 27, 29, 38, 41, 48, 51, 55, 58, 59, 62, 63, 64, 65], "message_handl": 39, "message_typ": [18, 19, 39], "messeng": 39, "messenger_st": 39, "metadata": [55, 59], "metavalu": 32, "method": [7, 16, 17, 24, 26, 38, 39, 40, 41, 51, 53, 55, 57, 58, 59, 60], "methodologi": [0, 21, 28, 52], "metric": 27, "microsecond": 60, "mid": 59, "might": [17, 23, 26, 28, 34, 37, 41, 48, 53, 59, 64], "mimic": 33, "min": 0, "mingw64": [33, 37], "minim": [38, 48, 50, 56, 59], "minimum": [7, 59, 62], "minor": [0, 38, 59], "minut": [7, 9, 10, 11, 12, 16, 18, 23, 25, 36], "misc": [40, 55], "mislead": [26, 41], "mismatch": 59, "misplac": 27, "miss": [1, 7, 21, 24, 26, 27, 39, 40, 41, 51, 58, 59], "mission": 16, "misspel": [39, 59], "mistak": [7, 26, 32, 39, 41], "mistaken": 28, "misunderstand": [26, 41], "misus": 39, "mit": 25, "mitig": 28, "mix": [0, 24, 59], "mm": 59, "mobi": 33, "mock": 19, "mock_queue_length": 55, "mode": [24, 32, 38, 44, 47, 56, 59, 60], "model": [0, 7, 18, 19, 26, 32, 39, 41, 50, 59, 64], "modelsim": [1, 3, 10, 40, 53, 56, 59], "modern": [14, 21, 61], "modif": 10, "modifi": [1, 7, 8, 16, 17, 26, 28, 40, 41, 57, 62], "modified_wait_stat": [26, 41], "modul": [7, 40, 48, 53, 55, 57, 58, 62], "monei": 21, "monitor": [7, 17, 21, 22, 39, 51, 59, 64], "monitor_process": 39, "monoton": 17, "month": [3, 20, 36], "more": [0, 1, 2, 3, 7, 9, 10, 11, 12, 13, 16, 17, 18, 19, 22, 23, 24, 25, 26, 27, 28, 32, 37, 39, 40, 41, 48, 51, 52, 55, 58, 59, 60, 62], "moreov": [0, 33, 36, 50], "most": [1, 9, 13, 17, 18, 24, 27, 28, 32, 33, 34, 35, 37, 38, 40, 48, 50, 53, 55, 59, 61], "motiv": [26, 41], "mount": 33, "mous": [21, 22], "move": [4, 7, 16, 18, 24, 25, 27, 51, 59, 60], "movement": 33, "mozilla": [0, 40, 52, 54, 55], "mpl": 40, "msg": [18, 19, 30, 31, 32, 39, 55, 59, 65], "msg_codecs_pkg": 7, "msg_copi": 39, "msg_t": [39, 59, 65], "msg_type": [7, 18, 19, 39, 59, 65], "msg_type_t": [18, 19, 39, 65], "msg_types_pkg": 7, "msys2": 37, "much": [7, 9, 14, 18, 23, 26, 38, 41], "multi": [0, 16, 59], "multicor": [9, 60], "multidimension": 1, "multilin": [26, 41], "multipl": [0, 1, 7, 16, 25, 26, 27, 28, 32, 36, 38, 40, 41, 48, 50, 55, 56, 57, 58, 59, 60, 62], "multipli": [7, 59], "multiprocess": 59, "munich": 25, "must": [7, 9, 11, 19, 24, 26, 27, 28, 33, 38, 39, 40, 41, 44, 47, 51, 55, 56, 57, 58, 59, 60, 62], "mutat": 59, "mv": 40, "mviereck": 33, "my": [3, 4, 7, 8, 9, 10, 11, 13, 15, 16, 17, 18, 32, 39], "my_actor": 39, "my_check": [32, 58], "my_compon": 55, "my_convenience_procedur": 55, "my_dut": 51, "my_fil": 58, "my_file_object": 55, "my_id": [51, 55], "my_integ": 39, "my_librari": 55, "my_logg": 55, "my_pkg": 7, "my_receiv": 39, "my_receiver_id": 39, "my_std_logic_vector_data": 39, "my_test": 57, "my_test_cas": 38, "my_unsigned_address": 39, "my_vector": 60, "mypi": [40, 59], "n": [7, 19, 26, 27, 39, 41, 51, 55], "n_check": [31, 32, 60], "n_data_set": [26, 41], "n_fail": [31, 32, 60], "n_log_time_decim": 55, "n_pass": [31, 32, 60], "n_sampl": [26, 41], "n_samples_field": [26, 41], "name": [1, 7, 13, 16, 17, 18, 19, 23, 25, 26, 27, 28, 32, 33, 36, 39, 40, 41, 50, 53, 55, 56, 57, 58, 59, 60, 62, 63], "namespac": [51, 57, 58], "nativ": [7, 8, 24, 39, 55], "native_time_unit": 55, "natur": [1, 6, 7, 14, 18, 24, 30, 31, 32, 39, 45, 46, 55, 59, 63, 65], "necess": 27, "necessari": [0, 7, 26, 28, 32, 40, 41, 56, 59, 60], "necessarili": 40, "necessarilti": 51, "need": [0, 1, 7, 10, 14, 16, 17, 19, 21, 23, 24, 25, 26, 27, 28, 32, 33, 34, 35, 36, 38, 39, 40, 41, 44, 47, 50, 52, 53, 56, 58, 59, 60, 62], "neg": [7, 39, 55, 57], "neil": 23, "neither": [56, 64], "nest": [17, 28, 58], "nested_runner_cfg": [28, 58, 60], "nested_test_suit": 58, "nesteruk": 61, "net": [7, 18, 19, 26, 39, 41, 65], "network": [18, 39, 61], "network_t": 65, "never": [7, 9, 18, 25, 26, 39, 40, 41], "nevertheless": [23, 34, 36], "new": [0, 1, 3, 7, 8, 9, 11, 13, 14, 16, 17, 18, 19, 20, 21, 25, 26, 27, 29, 32, 33, 37, 39, 40, 41, 44, 47, 51, 53, 55, 59, 60, 61, 63, 65], "new_1d": [17, 45], "new_2d": 45, "new_3d": 45, "new_actor": [18, 39, 65], "new_axi_slav": 59, "new_bu": 65, "new_check": [30, 31, 32], "new_condit": [26, 41], "new_data_set": [26, 27, 41], "new_ev": [26, 41, 60], "new_log_handl": 55, "new_log_level": 55, "new_memori": 63, "new_msg": [18, 19, 39], "new_msg_typ": [18, 19, 39, 65], "new_queu": 46, "new_sensitivity_list": [26, 41], "new_siz": 39, "new_stream_mast": 65, "new_stream_slav": 65, "newer": [18, 38, 59], "newest": 40, "newli": [4, 7, 14], "newsfrag": 40, "next": [7, 10, 11, 15, 17, 18, 23, 26, 39, 40, 41, 53, 60, 65], "night": 59, "nightli": [35, 37, 53, 60], "no_access": 63, "no_pars": [58, 59], "no_str": 55, "no_tim": 55, "no_time_check": 55, "non": [18, 24, 26, 27, 34, 36, 37, 39, 41, 50, 55, 57, 59, 64, 65], "non_blocking_read": 39, "non_blocking_transact": 39, "nonblock": 59, "none": [26, 32, 41, 55, 57, 58], "nonnegative_int": 57, "nonzero": 59, "nordic": 25, "normal": [1, 3, 10, 18, 32, 39, 55, 59, 64], "norwai": 25, "note": [7, 13, 16, 17, 18, 19, 24, 25, 26, 28, 32, 33, 38, 39, 41, 53, 55, 58, 62], "noth": [16, 51, 55], "notic": [11, 18, 26, 40, 41], "notif": [18, 27, 32], "notifi": [26, 27, 30, 39, 41, 60], "notify_if_fail": [26, 30, 32, 41], "notori": 7, "novel": 25, "novemb": 1, "now": [2, 7, 11, 16, 17, 18, 19, 20, 21, 22, 23, 25, 26, 28, 38, 39, 41, 59, 60, 61], "nowadai": 8, "nuanc": 25, "nul": [7, 26, 41, 43], "null": [7, 38, 42, 43, 57, 59], "null_actor": [39, 65], "null_buff": 63, "null_check": 31, "null_file_nam": 55, "null_id": 51, "null_integer_arrai": [45, 46], "null_log_handl": 55, "null_log_level": [30, 31, 32, 55], "null_logg": [55, 63], "null_memori": 63, "null_ptr": [31, 45, 46, 55, 63], "null_queu": 46, "null_string_ptr": [46, 63], "null_unhandled_check_id": 31, "num": [38, 59], "num_byt": 63, "num_children": [51, 55], "num_ck": [30, 32, 59], "num_log_handl": 55, "num_of_data_set": 17, "num_of_deferred_cr": 39, "num_thread": 38, "number": [0, 1, 2, 3, 7, 9, 15, 17, 18, 19, 20, 23, 26, 27, 28, 32, 38, 39, 40, 41, 47, 50, 51, 55, 58, 59, 63, 65], "numer": [18, 28, 55], "numeric_bit": 46, "numeric_std": [30, 46, 63, 65], "nvc": [30, 53, 56, 59], "nvc_concurrent_job": 59, "o": [36, 38, 53], "object": [16, 18, 26, 38, 39, 41, 48, 51, 55, 57, 58, 59, 63, 65], "observ": [7, 27, 32], "obsolet": 38, "obtain": [26, 40, 41, 51, 60], "obviou": [23, 27], "obvious": 24, "occasion": 53, "occupi": [26, 41], "occur": [26, 27, 32, 39, 41, 53, 55], "oci": [35, 36], "octav": 17, "off": [20, 55], "offend": 63, "offer": [24, 26, 28, 33, 41, 50, 60], "offet": 59, "offici": 3, "offset": 38, "often": [0, 2, 7, 21, 23, 24, 26, 32, 41, 52], "ok": 39, "old": [9, 16, 25, 55, 59], "oldest": [19, 39, 40], "olivera": 23, "olof": [0, 61], "omit": [51, 60], "onc": [10, 13, 17, 19, 23, 27, 32, 34, 39, 53, 59, 60, 62], "one": [1, 2, 4, 7, 9, 10, 11, 13, 14, 15, 16, 17, 18, 23, 24, 25, 26, 27, 28, 32, 33, 35, 37, 38, 39, 40, 41, 51, 55, 57, 58, 59, 60, 62, 63], "ones": [7, 9, 13, 18, 55, 59], "onli": [0, 1, 7, 10, 13, 16, 17, 18, 21, 24, 25, 26, 27, 28, 32, 33, 35, 36, 37, 38, 39, 41, 51, 55, 56, 57, 58, 59, 60, 61, 62, 63], "onlin": [23, 24, 25, 33], "op": 34, "op_a": 39, "op_b": 39, "open": [0, 1, 2, 11, 16, 17, 19, 21, 23, 24, 28, 29, 33, 35, 36, 40, 52, 55, 59], "opencor": 9, "oper": [26, 27, 28, 32, 33, 34, 38, 41, 47, 59, 60, 65], "operand": [32, 39], "opinion": [2, 9], "opportun": [27, 32], "opt": [38, 51], "optim": [1, 27, 55], "option": [0, 18, 19, 24, 26, 27, 28, 32, 34, 37, 38, 39, 40, 41, 44, 47, 50, 51, 53, 55, 57, 58, 59, 60, 62], "order": [0, 7, 9, 10, 11, 26, 27, 32, 34, 36, 38, 39, 41, 48, 58, 59, 60, 62], "org": [40, 59], "organ": [7, 21, 36, 51, 60], "organis": [23, 36], "origin": [1, 2, 3, 4, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 21, 22, 24, 26, 38, 39, 40, 41, 57, 58, 59], "original_msg": 39, "original_wait_stat": [26, 41], "osvb": 50, "osvvm": [0, 2, 7, 24, 25, 29, 40, 53, 54, 58, 59], "osvvmlibrari": 50, "other": [0, 1, 2, 3, 7, 9, 10, 13, 16, 17, 18, 19, 21, 23, 25, 26, 27, 28, 29, 32, 33, 36, 37, 38, 39, 40, 41, 51, 55, 58, 59, 60, 62, 63], "other_fil": 58, "otherwis": [17, 39, 55, 60], "ought": 0, "our": [1, 2, 6, 7, 9, 10, 11, 13, 15, 16, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 29, 39, 40, 41, 50, 51, 53, 64], "out": [1, 7, 10, 14, 16, 18, 19, 23, 24, 25, 26, 27, 28, 30, 31, 32, 36, 38, 39, 41, 45, 46, 55, 59, 60, 62, 63, 65], "outbound": 39, "outbox": [18, 39], "outdat": 21, "outdata": 39, "outer": 17, "outgo": 18, "outlin": [27, 60, 62], "output": [0, 1, 7, 13, 16, 17, 24, 25, 26, 27, 32, 34, 39, 41, 48, 51, 55, 57, 58, 59, 60, 62, 63], "output_path": [17, 38, 48, 57, 58, 59, 60, 62], "output_pixel": 32, "output_tdata": [26, 27, 41], "output_tvalid": [26, 27, 41], "outsid": 32, "oven": 18, "over": [2, 7, 11, 13, 14, 17, 18, 21, 24, 25, 26, 28, 39, 41, 56, 58, 59, 60], "overal": 13, "overcom": 51, "overhead": [0, 27, 39, 59, 60], "overkil": 33, "overlap": [24, 32], "overload": 59, "overrid": [32, 63], "overrideen": 59, "overview": [18, 50, 51, 59], "overwrit": [57, 58, 59], "own": [0, 1, 7, 9, 15, 16, 18, 20, 24, 26, 27, 34, 36, 38, 39, 41, 50, 51, 60, 63, 64], "owner": [39, 51], "ownership": 59, "p": [1, 3, 13, 17, 19, 26, 32, 38, 39, 41, 55, 60], "p0": 59, "p3": 60, "p_actor": 65, "p_address": 63, "p_address_length": 65, "p_buffer": 63, "p_build_result": 31, "p_byte_length": 65, "p_check_permiss": 63, "p_checker": 31, "p_data": [31, 55, 63], "p_data_length": 65, "p_default_endian": 63, "p_file_nam": 31, "p_handl": 31, "p_has_unhandled_check": 31, "p_is_pass": 31, "p_level": 31, "p_line_num": 31, "p_logger": [63, 65], "p_memory_ref": 63, "p_meta": [46, 63], "p_msg": 31, "p_name": 63, "p_num_byt": 63, "p_recycle_check_result": 31, "p_register_unhandled_check": 31, "p_std_msg": 31, "p_unhandled_check_id": 31, "pace": [1, 21], "packag": [0, 1, 7, 13, 16, 17, 26, 28, 32, 35, 36, 37, 39, 40, 41, 48, 55, 58, 59, 60, 62, 63, 64, 65], "packet": [55, 59], "pacman": 35, "pad": 55, "page": [4, 5, 20, 59], "pai": 36, "paid": 20, "pair": [13, 17], "paper": 25, "paradigm": 18, "paragraph": 40, "parallel": [0, 1, 3, 18, 26, 38, 41, 60, 64], "param": 59, "paramet": [0, 7, 13, 18, 19, 27, 32, 39, 44, 47, 51, 55, 57, 58, 59, 60], "parent": [16, 19, 51, 55, 58], "parent_compon": 55, "parent_id": 51, "parenthes": 39, "parenthesi": 32, "pars": [7, 17, 18, 19, 32, 34, 48, 55, 57, 58, 59, 62], "parse_arg": 57, "parser": [34, 57, 59], "part": [2, 7, 12, 13, 15, 18, 21, 25, 26, 27, 28, 29, 32, 33, 39, 40, 41, 51, 57, 60, 62], "parti": [0, 51, 55, 59], "partial": [51, 59], "particip": 20, "particular": 28, "particularli": [25, 60], "partli": 24, "pass": [1, 13, 16, 17, 18, 25, 26, 27, 29, 30, 38, 40, 41, 48, 55, 56, 57, 58, 59, 60, 62], "passing_check": 31, "past": [25, 28, 40, 64], "patch": [0, 38], "path": [0, 1, 8, 9, 16, 17, 19, 23, 24, 33, 34, 36, 37, 50, 51, 53, 55, 56, 57, 58, 59, 62], "path_nam": [16, 19, 51, 60], "path_offset": [30, 31, 32, 55], "pathlib": [58, 59], "patrick": [0, 54], "pattern": [1, 7, 18, 58, 59, 60, 62], "paus": 17, "payload": 7, "pdb": 38, "peak": 3, "peer": 50, "pend": [26, 39, 41], "penultim": [30, 32], "peopl": [2, 6, 21, 25, 38], "per": [32, 36, 59, 60], "perfect": 9, "perfectli": 27, "perform": [7, 18, 25, 26, 27, 32, 38, 39, 41, 48, 55, 57, 59, 60, 62, 63, 64], "perform_pin_wiggling_on_bus_interfac": 19, "perhap": [26, 27, 41], "period": [7, 40], "permiss": [63, 64], "permissionerror": 59, "permissions_t": 63, "permit": 27, "persist": 59, "person": [4, 27, 28], "perspect": 25, "phase": [21, 26, 29, 41, 59, 60], "philipp": 14, "phone": 18, "physic": [7, 18], "pick": [18, 24, 25, 26, 36, 39, 41], "pictur": [7, 18], "piec": [7, 9, 23, 24, 26, 36, 41], "pin": [1, 7, 18, 59], "pinpoint": [26, 38, 41], "pip": [33, 40, 53], "pipelin": [26, 41], "pixel": 32, "pkg": 7, "place": [4, 7, 13, 14, 16, 17, 18, 19, 23, 24, 25, 26, 27, 28, 36, 39, 41, 51, 53, 55, 60, 62], "plai": [28, 33], "plain": 7, "plain_symbol_driv": 7, "plain_symbol_driver_receipt": 7, "plaintext": 7, "plan": [7, 10, 12, 21, 24, 53], "platform": [6, 9, 24, 33, 34, 37, 61], "playground": 33, "pleas": [2, 25, 32, 59, 60], "pleasur": 25, "pli": 56, "plot": 17, "plot_titl": 17, "plu": 1, "plugin": 34, "plumb": 0, "pluralsight": 61, "point": [7, 9, 13, 16, 25, 26, 27, 28, 33, 38, 41, 53, 55, 62], "pointer": [13, 44, 47, 50, 59], "pop": [18, 19, 26, 27, 39, 41, 46, 47, 59, 64, 65], "pop_": 39, "pop_bit": 46, "pop_bit_vector": 46, "pop_boolean": 46, "pop_byt": 46, "pop_charact": 46, "pop_complex": 46, "pop_complex_polar": 46, "pop_file_open_kind": 46, "pop_file_open_statu": 46, "pop_fix_str": 46, "pop_integ": [18, 19, 46], "pop_integer_array_t_ref": 46, "pop_integer_vector_ptr_ref": 46, "pop_numeric_bit_sign": 46, "pop_numeric_bit_unsign": 46, "pop_numeric_std_sign": 46, "pop_numeric_std_unsign": 46, "pop_queue_ref": 46, "pop_real": 46, "pop_ref": 46, "pop_severity_level": 46, "pop_std_ulog": 46, "pop_std_ulogic_vector": [18, 19, 46], "pop_str": [39, 46], "pop_stream": 65, "pop_string_ptr_ref": 46, "pop_tim": 46, "pop_variable_str": 46, "popul": [8, 59], "popular": [16, 24], "port": [6, 7, 18, 28, 48, 51, 59, 61], "portabl": 34, "posit": [7, 13, 19, 28, 39, 45, 46, 55, 60, 63, 65], "positive_ack": 39, "possess": 51, "possibl": [3, 7, 16, 17, 18, 19, 23, 26, 27, 28, 32, 35, 36, 38, 39, 40, 41, 51, 55, 57, 59, 60, 61, 62], "post": [1, 2, 3, 4, 9, 10, 11, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 59], "post_check": [17, 25, 57, 58, 59, 62], "post_func": 58, "post_run": [58, 59], "postpon": 1, "potenti": [9, 23, 25, 26, 27, 36, 39, 41, 59], "power": [0, 3, 7, 13, 26, 41], "powershel": [23, 36], "pr": [36, 60], "practic": [38, 39, 50, 61], "pragma": [26, 38, 41], "pre": [35, 37, 38, 53, 55, 62], "pre_config": [17, 25, 57, 58, 59], "preced": [26, 32, 41, 51, 56, 58], "precis": 33, "predefin": [23, 26, 32, 36, 41, 51], "prefer": [17, 21, 24, 28, 51, 58, 59], "prefix": [26, 40, 41, 59], "preheat": 18, "prematur": [26, 27, 41, 60], "prepar": [26, 41], "prepend": 32, "preprocess": [58, 59], "preprocessor": [0, 26, 32, 41, 55, 57, 59], "presenc": 27, "present": [0, 7, 9, 10, 11, 13, 17, 18, 19, 23, 25, 26, 27, 32, 36, 39, 40, 41, 51, 60, 62], "preserv": [40, 58, 59], "press": 59, "pretti": [21, 26, 41], "prevent": [7, 9, 15, 16, 24, 26, 27, 32, 41, 55, 56, 59, 60, 62], "preview": [15, 21, 22], "previou": [4, 7, 10, 17, 18, 20, 26, 27, 28, 32, 37, 39, 41, 51, 59, 60], "previous": [7, 9, 16, 26, 27, 32, 39, 41, 55, 58, 59], "price": [25, 36], "primari": [16, 51], "primarili": 28, "primit": [7, 47], "principl": [9, 27, 28, 39, 61], "print": [26, 38, 40, 41, 51, 57, 58, 59, 60], "print_pkg": 55, "printabl": [26, 41], "printout": 59, "prior": [7, 8, 15, 16, 27, 51, 60], "prioriti": 7, "privaci": 18, "privat": [31, 36, 45, 46, 55, 62, 63, 65], "prj": [17, 24, 39, 58], "pro": [1, 3, 10, 26, 41, 53, 55, 56, 59], "probabl": [17, 21, 60, 62], "problem": [7, 9, 11, 18, 25, 26, 39, 40, 41, 51, 59, 60], "problemat": [26, 41], "proce": [26, 27, 41], "procedur": [1, 7, 16, 17, 18, 19, 26, 27, 30, 31, 32, 35, 36, 37, 39, 40, 41, 42, 43, 44, 45, 46, 47, 51, 59, 60, 62, 63, 64, 65], "proceed": [26, 41, 60], "process": [3, 7, 13, 16, 17, 18, 19, 20, 26, 27, 28, 32, 33, 38, 39, 41, 44, 47, 48, 51, 58, 60, 61, 62], "produc": [7, 24, 25, 26, 38, 40, 41, 51, 55, 59], "produce_data": [26, 41], "product": [0, 14, 19, 28, 33], "profession": [14, 25], "professor": 61, "program": [7, 13, 17, 18, 32, 38, 55], "programat": 28, "programm": 17, "programmat": 39, "progress": [1, 17, 21, 22], "project": [0, 1, 8, 9, 10, 11, 12, 16, 21, 23, 24, 25, 26, 33, 34, 36, 37, 38, 40, 41, 48, 53, 54, 58, 59, 61, 62], "project_csv_path": 58, "promin": 25, "promis": 18, "promot": 2, "prone": [7, 27, 34], "proof": 25, "propag": [32, 55], "proper": 39, "properli": [7, 26, 33, 41], "properti": [16, 17, 32, 58, 60], "propos": 28, "protect": [7, 15, 16, 17, 27, 59], "protocol": [27, 51, 59, 64], "protocol_check": 51, "prototyp": [7, 44, 47], "provid": [0, 1, 7, 9, 10, 13, 14, 16, 17, 18, 19, 21, 23, 24, 25, 27, 28, 32, 33, 35, 36, 38, 39, 44, 47, 50, 51, 55, 56, 57, 58, 59, 60, 62, 63, 64], "psf": 59, "psl": 59, "public": [0, 9, 21, 23, 33, 34, 36, 39, 40, 52, 54, 58], "publicli": [21, 24], "publish": [4, 7, 9, 18, 20, 21, 23, 36, 59], "pull": [7, 8, 19, 21, 23, 28, 36, 40], "pull_request": [23, 36], "pulp": 18, "punctuat": 40, "pure": [1, 18], "purpos": [1, 4, 16, 17, 27, 28, 39, 55, 58], "pursu": 21, "push": [11, 18, 19, 23, 26, 27, 36, 39, 40, 41, 46, 47, 59, 64, 65], "push_": 39, "push_bit": 46, "push_bit_vector": 46, "push_boolean": 46, "push_byt": 46, "push_charact": 46, "push_complex": 46, "push_complex_polar": 46, "push_file_open_kind": 46, "push_file_open_statu": 46, "push_fix_str": 46, "push_integ": [18, 19, 46], "push_integer_array_t_ref": 46, "push_integer_vector_ptr_ref": 46, "push_numeric_bit_sign": 46, "push_numeric_bit_unsign": 46, "push_numeric_std_sign": 46, "push_numeric_std_unsign": 46, "push_queue_ref": 46, "push_real": 46, "push_ref": 46, "push_severity_level": 46, "push_std_ulog": 46, "push_std_ulogic_vector": [18, 19, 46], "push_str": [39, 46], "push_stream": 65, "push_string_ptr_ref": 46, "push_tim": 46, "push_typ": 46, "push_variable_str": 46, "put": [7, 18, 20, 39, 40, 60, 62], "pwd": 33, "py": [1, 3, 13, 23, 28, 33, 34, 36, 37, 38, 40, 50, 53, 55, 56, 57, 59, 60, 62], "py310": 59, "py38": 40, "pycodestyl": 40, "pylint": [40, 59], "pypi": [8, 40, 59], "pyproject": 59, "pytest": [33, 37, 40, 59], "python": [0, 1, 3, 7, 13, 17, 23, 24, 25, 28, 32, 34, 35, 36, 37, 38, 39, 44, 47, 48, 59, 60, 62], "python3": 33, "pythonpath": 53, "pyuvm": 25, "q": [9, 28, 38, 59], "qemu": 33, "quad": [9, 11], "qualcomm": 25, "qualiti": [0, 12, 25], "queri": 51, "questa": [10, 53, 56, 59], "questasim": 25, "question": [0, 2, 9, 10, 11, 17, 21, 23, 24, 25], "queue": [0, 7, 18, 26, 27, 41, 47, 50, 55, 59, 65], "queue_pkg": [46, 55, 65], "queue_t": [46, 47, 59, 65], "queue_vec_t": 46, "quick": [11, 14, 57], "quickli": [26, 39, 41], "quiet": [38, 59], "quit": [16, 17, 28], "quot": [26, 27, 28, 41, 51, 55, 59, 60], "qusta": 59, "r": [26, 41, 56], "race": [7, 26, 27, 39, 41, 59, 60], "rais": [7, 17, 25, 28, 32, 50, 58, 59, 64, 65], "ram": [19, 59, 64], "ram_mast": 59, "ramp": 17, "randint": [7, 39], "random": [0, 7, 21, 24, 39, 58, 59], "random_okg": 24, "random_pkg": 24, "randomizing_process": 60, "randtim": [7, 39], "rang": [7, 13, 17, 25, 26, 31, 41, 45, 46, 51, 55, 57, 58, 60, 63], "rapidli": 36, "rare": [7, 10], "rather": [0, 7, 13, 14, 15, 16, 17, 18, 23, 24, 25, 26, 28, 39, 40, 41, 51, 52, 58, 59, 62], "ratio": 25, "ration": 24, "rational": 32, "raw": [32, 47, 48, 55], "re": [0, 1, 2, 3, 7, 8, 11, 13, 16, 18, 21, 23, 25, 26, 27, 32, 38, 41, 50, 56, 59, 60, 64], "reach": [4, 7, 25, 26, 27, 39, 41, 55, 59, 60, 62, 65], "react": [18, 26, 41], "read": [0, 1, 7, 17, 18, 19, 23, 26, 32, 39, 41, 44, 47, 48, 51, 52, 57, 59, 60, 62, 63, 64, 65], "read_": [44, 47], "read_and_writ": 63, "read_bu": 65, "read_byt": 63, "read_char": 43, "read_data": 57, "read_integ": 42, "read_msg": [18, 19, 39], "read_onli": 63, "read_regist": [26, 41], "read_reply_msg": 39, "read_word": 63, "readabl": [0, 7, 16, 18, 39, 55, 59], "readi": [7, 18, 33, 36, 38, 39], "readm": [23, 36, 37, 59], "readout": 32, "ready_to_verifi": 7, "real": [10, 16, 28, 30, 46, 59], "real_time_clock": 32, "realist": 48, "realiti": 25, "realiz": [0, 34, 52], "realli": [11, 17, 18], "reanm": 59, "reappear": [26, 41], "reason": [7, 9, 17, 18, 23, 24, 26, 28, 32, 36, 41, 55, 56, 60, 62], "receipt": 7, "receiv": [1, 4, 7, 16, 19, 23, 26, 32, 41, 48, 55, 60, 64], "receive_repli": [7, 18, 39], "receiver_1": 39, "receiver_2": 39, "recent": [3, 12, 17, 53], "recept": 7, "recip": 18, "recogn": [14, 25, 26, 39, 41, 59, 60, 62], "recom": 35, "recommend": [3, 9, 16, 18, 21, 23, 27, 36, 37, 39, 40, 51, 53, 57, 59, 60, 62], "recompil": [7, 38, 62], "reconfigur": 7, "record": [7, 13, 16, 18, 25, 31, 32, 39, 45, 46, 55, 60, 63, 65], "recoveri": 59, "recurs": [8, 53, 55, 58, 59, 62], "red": [18, 55], "redefin": 15, "redirect": [4, 55, 58], "redistribut": [0, 7, 54], "reduc": [0, 7, 28, 33, 39, 50, 59, 64], "redund": [32, 59, 64], "ref": 59, "ref_cnt": 32, "refactor": [9, 59], "refer": [7, 16, 17, 18, 24, 32, 33, 39, 40, 44, 47, 51, 55, 57, 59, 60, 63, 65], "referenc": [7, 16, 60], "reference_model": 32, "refin": 7, "reflect": [25, 51], "regard": [26, 40, 41], "regardless": [26, 32, 36, 39, 41, 55, 64], "regex": 59, "region": [27, 59, 60, 63], "regist": [13, 18, 19, 24, 26, 41, 48, 60, 64], "registr": 60, "registri": 59, "regress": [0, 1, 3, 37, 59, 61], "regular": [17, 26, 35, 39, 41, 59], "rel": [26, 41, 51, 55, 58, 59, 62, 63], "relat": [4, 7, 26, 27, 28, 29, 41, 58, 59, 63], "relationship": [16, 19], "relationsship": 51, "releas": [0, 1, 3, 8, 14, 15, 16, 18, 19, 20, 21, 22, 23, 24, 33, 34, 35, 36, 37, 39, 50, 52, 53, 54, 64], "release_not": 40, "relev": [7, 21, 38, 40, 59], "reli": [26, 27, 41, 51], "reliabl": 27, "reloc": 28, "relpath": [57, 58, 59], "remain": [7, 18, 19, 26, 27, 32, 41, 55, 59, 60], "rememb": [17, 27, 35, 40, 60, 62], "remot": [4, 25, 32, 40], "remov": [7, 10, 13, 15, 16, 17, 26, 27, 28, 33, 37, 38, 39, 40, 41, 53, 55, 58, 59, 60, 62, 63], "renam": 59, "reorder": 59, "reorgan": 51, "repeat": [7, 17, 24], "repeatedli": [17, 59], "replac": [0, 9, 11, 26, 28, 32, 39, 41, 44, 47, 48, 52, 59], "repli": [7, 19, 65], "reply_msg": [18, 39], "repo": [8, 24, 37, 40, 60], "report": [0, 1, 7, 10, 16, 17, 19, 32, 38, 40, 42, 43, 50, 57, 58, 59, 60, 62], "repositori": [4, 8, 11, 23, 24, 36, 53, 59, 64], "repositorit": 36, "repres": [7, 13, 16, 25, 26, 28, 32, 34, 39, 41, 51, 58], "represent": [27, 28, 39, 51], "reproduc": 59, "req": 59, "request": [0, 7, 19, 21, 23, 28, 36, 39, 40, 59, 65], "request_msg": 39, "requested_inform": 39, "requir": [0, 10, 11, 23, 26, 27, 33, 34, 35, 36, 38, 39, 40, 41, 44, 47, 56, 57, 58, 59, 60, 62, 64], "research": [24, 25, 34], "reserv": [0, 54, 57], "reset": [1, 27, 28, 32, 55, 60, 62], "reset_checker_stat": [30, 31, 32], "reset_log_count": 55, "resetal": 59, "reshap": [45, 47], "resid": 28, "resist": 9, "resiz": 39, "resolut": [13, 55, 60], "resolv": [27, 59], "resourc": [0, 26, 34, 41, 44, 47, 51], "respect": [7, 16, 32, 39, 59, 62], "respond": 27, "respons": [1, 7, 16, 17, 26, 27, 32, 39, 41, 59, 65], "rest": 40, "restart": [32, 59], "restor": [26, 41, 55, 62], "restrict": [13, 15, 16, 55], "restructur": 59, "restructuredtext": 36, "result": [1, 7, 11, 13, 14, 16, 17, 19, 21, 22, 23, 26, 27, 30, 32, 33, 34, 36, 37, 39, 41, 45, 46, 55, 57, 59, 60, 65], "retriev": [18, 32, 39, 44, 47, 51], "return": [7, 13, 16, 17, 18, 26, 27, 30, 31, 32, 39, 41, 42, 43, 45, 46, 51, 55, 57, 58, 59, 60, 63, 65], "return_valu": 13, "returncod": 17, "reus": [7, 17, 18, 36], "reusabl": [13, 23, 36, 39], "reveal": [26, 32, 41, 60], "revers": [26, 41], "revert": 59, "review": 40, "revis": 56, "revisit": [17, 27], "ricardo": 61, "rich": 9, "right": [0, 7, 18, 25, 32, 54], "rightmost": 32, "rise": [32, 58], "rising_edg": [13, 26, 27, 30, 32, 39, 41, 55], "risk": [7, 26, 27, 28, 32, 39, 41], "rivera": 3, "riverierapro": 56, "riviera": [1, 10, 26, 41, 53, 55, 56, 59], "rivierapro": [38, 55, 56, 59], "rm": [33, 40], "rnd": 39, "rng": 60, "road": [0, 54], "robust": [26, 27, 41, 59], "role": [0, 28], "roll": [53, 59], "room": [5, 9, 10, 11], "root": [17, 23, 26, 36, 41, 51, 53, 55], "root_id": 51, "root_logg": 55, "roughli": 11, "rout": [7, 13, 24], "row": 48, "rst": [40, 59], "rtfd": 35, "rtl": [26, 28, 41], "rtl_16": 28, "rtl_32": 28, "rtl_8": 28, "rubi": 36, "rule": [51, 55, 59, 62], "run": [0, 1, 3, 7, 9, 10, 11, 13, 18, 19, 23, 25, 26, 27, 28, 32, 33, 34, 36, 37, 38, 39, 41, 50, 53, 55, 56, 57, 58, 59, 62, 63], "run_all_in_same_sim": [27, 38, 57, 59, 60], "run_fil": [23, 36], "run_test": 59, "runnabl": 55, "runner": [0, 1, 16, 17, 19, 26, 27, 32, 33, 41, 48, 51, 53, 59, 60, 62], "runner_cfg": [13, 16, 17, 19, 26, 27, 28, 41, 58, 59, 60, 62], "runner_cfg_default": 60, "runner_phas": 27, "runner_timeout": [26, 41], "running_test_cas": 60, "runtim": [37, 39, 59], "runtime_022": 59, "runtime_0232": 59, "runx": 33, "rust": [25, 36], "rv": 7, "rx": [19, 59], "sadli": 7, "safe": [7, 39, 59], "safest": 39, "safeti": [7, 26, 39, 41, 55], "sai": [7, 13, 19, 26, 32, 39, 41], "sale": 25, "salt": 60, "same": [1, 7, 10, 13, 16, 17, 18, 25, 26, 27, 28, 32, 33, 36, 37, 38, 39, 40, 41, 44, 47, 48, 51, 55, 57, 58, 59, 60, 61, 62, 64], "sampl": [17, 26, 32, 41], "sample_idx": [26, 41], "sane": 59, "saniti": 59, "saucepan": 18, "save": [17, 34, 38, 48, 59, 60], "save_csv": [17, 45], "save_raw": 45, "saw": [17, 25], "scalar": 13, "scale": [7, 25, 26, 27, 28, 41, 59], "scan": [0, 1, 32, 38, 58, 59, 60], "scan_tests_from_fil": [57, 58, 59, 60], "scanner": [58, 59], "scenario": [19, 27, 28, 60], "schedul": 65, "scienc": [16, 18], "scope": 24, "scoreboard": 39, "scoreboard_logg": 15, "scoreboard_process": 39, "scrape": 18, "script": [1, 7, 9, 10, 11, 13, 23, 28, 32, 33, 34, 37, 38, 39, 53, 56, 57, 59, 60, 62], "scriptabl": 0, "se": [0, 54], "search": [18, 58, 59], "sec": 55, "second": [0, 3, 7, 8, 9, 10, 11, 13, 17, 19, 21, 25, 26, 27, 32, 33, 38, 39, 41, 55, 60], "secret": 23, "section": [23, 26, 32, 34, 36, 41, 51, 59, 62], "secur": 36, "see": [1, 7, 9, 11, 13, 15, 17, 18, 19, 20, 21, 23, 25, 26, 27, 28, 32, 33, 35, 36, 37, 38, 39, 40, 41, 44, 47, 48, 50, 53, 55, 57, 58, 59, 60, 62], "seed": [38, 57, 59], "seed1": 60, "seed2": 60, "seek": 32, "seem": [17, 26, 41], "seen": [17, 18, 19, 21, 28, 39, 40, 59, 60], "seldom": 55, "select": [1, 18, 25, 44, 47, 55, 59], "self": [0, 1, 7, 9, 11, 26, 41, 57, 59], "semant": 38, "semaphor": [26, 41], "semi": 59, "semiengin": 23, "send": [1, 7, 17, 19, 64, 65], "sender": [7, 18, 39], "sending_actor": 39, "senior": [23, 25], "sens": [9, 18, 51], "sensit": [26, 41], "sensitivity_list": [26, 41], "sensitivity_list_sign": [26, 41], "sent": [7, 18, 39, 48, 55], "sentenc": [7, 40], "sentence_is_encrypt": 7, "sentence_length": 7, "sentence_msg": 7, "sentence_msg_t": 7, "sentence_msg_type_t": 7, "separ": [7, 8, 13, 14, 16, 17, 26, 27, 28, 32, 38, 39, 40, 41, 55, 56, 59, 60, 62], "seper": 38, "sequenc": [7, 17, 18, 19, 39, 55, 64], "sequenti": [18, 32], "seri": [9, 12, 32], "serial": 47, "serializ": 59, "seriou": 25, "serv": [17, 25, 27, 28, 36, 55, 60, 62], "server": [0, 21, 34, 36, 59], "servic": [16, 23, 33, 34, 35, 36, 40], "set": [0, 1, 4, 7, 9, 13, 16, 17, 18, 19, 23, 25, 26, 27, 28, 32, 33, 36, 38, 39, 40, 41, 45, 50, 51, 53, 55, 56, 57, 58, 59, 60, 62, 63, 64, 65], "set_attribut": [57, 58], "set_compile_opt": [55, 57, 58, 59], "set_default_log_level": 31, "set_expected_byt": 63, "set_expected_integ": 63, "set_expected_word": 63, "set_format": 55, "set_gener": [57, 58, 59], "set_log_handl": 55, "set_paramet": [57, 58], "set_permiss": 63, "set_pli": 59, "set_post_check": [57, 58], "set_pre_config": [57, 58, 59], "set_shift_after_delai": 7, "set_sim_opt": [57, 58, 59], "set_stop_count": 55, "set_stop_level": [32, 55, 60], "set_timeout": [59, 60], "set_vhdl_configuration_nam": [57, 58], "setup": [1, 25, 26, 27, 28, 32, 34, 41, 53, 59, 60, 62], "seven": 32, "sever": [0, 1, 7, 11, 16, 17, 18, 24, 26, 27, 28, 32, 38, 39, 40, 41, 42, 43, 48, 50, 55, 56, 60, 61, 64], "severity_level": 46, "sh": 33, "shall": 33, "shape": 36, "share": [14, 16, 27, 33, 38, 39, 51, 57, 58, 62], "she": 7, "shebang": 59, "shell": [33, 36, 37, 60], "shield": [23, 36], "shift": [7, 26, 41], "shift_driv": 7, "shift_msg": 7, "ship": 7, "short": [0, 3, 9, 14, 16, 17, 29, 33, 39, 40, 55, 59], "shorten": [38, 60], "shorthand": [55, 59], "shortli": 7, "should": [1, 4, 17, 18, 23, 24, 26, 27, 28, 32, 36, 39, 40, 41, 44, 47, 51, 55, 58, 59, 62, 63], "shouldn": [16, 32, 53], "show": [3, 7, 9, 10, 11, 15, 16, 17, 18, 19, 23, 25, 26, 27, 28, 32, 33, 36, 38, 39, 41, 48, 55], "show_al": 55, "showcas": [27, 37], "shown": [0, 7, 17, 18, 26, 27, 41], "sibl": 0, "side": [7, 9, 18, 27, 39, 60], "siemen": [23, 25], "sigasi": [29, 59, 61], "sight": 27, "sign": [0, 18, 21, 30, 32, 46, 54, 58, 60], "signal": [7, 18, 26, 27, 30, 32, 38, 39, 41, 51, 59, 60, 62, 64, 65], "signatur": 28, "signific": [9, 24, 59], "significantli": [7, 33, 61], "siluk": [0, 20], "sim": [38, 56, 59], "sim_flag": 56, "sim_if": 59, "sim_opt": [56, 58, 59], "similar": [16, 17, 18, 24, 26, 32, 41, 53, 60, 62], "similarli": [26, 41], "simpl": [7, 9, 15, 16, 17, 19, 23, 26, 28, 29, 36, 39, 41, 55, 60], "simple_nam": 51, "simpler": [23, 27], "simplest": [39, 62], "simpli": [3, 7, 16, 17, 25, 26, 27, 28, 41, 51], "simplic": 7, "simplifi": [15, 20, 24, 26, 32, 33, 38, 39, 41], "simul": [0, 1, 3, 7, 9, 10, 11, 15, 16, 17, 18, 23, 26, 27, 28, 29, 32, 34, 35, 36, 39, 40, 41, 44, 47, 48, 51, 58, 59, 60, 62], "simulartor": 0, "simulaton": 55, "simulator_nam": [38, 59], "simulator_output_path": 57, "simulator_supports_coverag": [57, 58], "simultan": [16, 55, 60], "sinc": [7, 10, 17, 19, 24, 25, 26, 27, 28, 32, 34, 35, 37, 39, 40, 41, 51, 56, 57, 59, 60, 62], "singl": [7, 9, 11, 13, 16, 17, 25, 27, 28, 32, 35, 37, 38, 39, 40, 55, 58, 59, 60, 62, 64], "sink": [59, 64], "siso": 50, "sit": [7, 25], "site": [4, 53], "situat": [7, 17, 18, 26, 28, 32, 39, 41, 51, 57, 59], "six": [32, 35], "size": [39, 48, 56], "size_of_data_set": 17, "skill": [7, 9, 17, 21], "skip": [8, 38, 40, 57, 58, 59], "slash": 33, "slave": [7, 19, 48, 59, 63, 64], "slave_channel": 39, "slave_msg": 39, "slaweksiluk": 0, "slawomir": 20, "sleep": 17, "slight": 7, "slightli": [24, 26, 37, 41], "slow": [17, 60], "slower": [37, 38], "small": [0, 9, 13, 59], "smaller": [0, 26, 41, 60], "smoother": 16, "smoothli": 61, "snake_cas": 40, "snapshot": 39, "snippet": [36, 59], "so": [1, 2, 4, 7, 8, 9, 11, 13, 14, 16, 17, 18, 19, 21, 23, 24, 26, 27, 32, 34, 36, 39, 40, 41, 44, 47, 51, 53, 55, 59, 60], "softwar": [14, 16, 21, 33, 61], "sole": 27, "solid": [26, 41], "solut": [2, 7, 11, 13, 17, 18, 23, 24, 25, 26, 27, 33, 34, 36, 37, 39, 41, 59, 60], "solv": [7, 18, 27], "some": [1, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 32, 33, 36, 37, 38, 39, 40, 41, 44, 47, 48, 50, 51, 55, 59, 60], "some_input_paramet": 39, "some_nam": [23, 36], "some_other_bfm_pkg": 39, "someon": [7, 11, 23, 36, 39], "someth": [1, 7, 9, 13, 15, 16, 17, 18, 21, 25, 26, 27, 32, 39, 41, 60], "sometim": [13, 18, 24, 28, 38, 39, 59, 60], "somewhat": [26, 28, 41], "soon": [1, 7, 28, 33, 39, 63], "sort": [26, 41], "sound": [15, 18], "sourc": [0, 1, 2, 7, 10, 11, 19, 23, 24, 26, 29, 33, 34, 35, 36, 37, 38, 40, 41, 52, 53, 56, 58, 59, 60, 62, 64], "source_fil": 58, "sourcefil": 57, "sourcefilelist": 57, "space": [38, 39, 44, 47, 51, 63, 64], "spain": 25, "special": [7, 16, 24, 26, 27, 28, 32, 34, 39, 41, 57, 59], "specif": [0, 7, 21, 24, 27, 28, 32, 34, 36, 39, 40, 55, 56, 58, 59, 60, 64], "specifi": [0, 1, 7, 16, 23, 27, 28, 32, 36, 38, 39, 40, 48, 51, 55, 56, 59, 60, 62], "speed": [0, 1, 9, 11, 12, 59, 61], "spend": [10, 11, 25], "spent": [11, 24], "sphinx": [6, 59], "sphinx_btd_them": 59, "split": [0, 7, 13, 17, 18, 26, 33, 39, 41], "spot": 25, "spread": [7, 9, 21], "spreadsheet": [0, 1], "squar": [32, 39], "src": [24, 40, 48, 60], "stabil": 59, "stabl": [32, 35, 53], "stack": 55, "stai": [21, 24], "stall": [59, 60], "standalon": [51, 55], "standard": [7, 13, 15, 16, 19, 27, 28, 34, 39, 55, 57, 58, 59, 60, 61, 65], "star": [1, 21], "start": [1, 3, 7, 9, 13, 16, 17, 18, 20, 21, 23, 25, 26, 27, 29, 32, 33, 38, 39, 40, 41, 51, 53, 56, 57, 59, 60, 62, 65], "start_encrypt": 7, "start_ev": [30, 32], "start_stimuli": 60, "start_verifi": 7, "startup": [35, 37, 59], "stat": [30, 31, 32], "stat1": 31, "stat2": 31, "state": [2, 19, 26, 27, 28, 32, 41, 55, 62], "statement": [7, 9, 11, 18, 26, 27, 28, 32, 39, 41, 48, 51, 53, 59, 60], "static": [40, 59], "statist": [55, 59], "statu": [7, 11, 13, 19, 23, 26, 27, 32, 36, 39, 41, 58, 60], "status_reg_addr": [26, 41], "std": [30, 45, 55, 62], "std_fail_ctx": 31, "std_fail_msg": 31, "std_logic": [26, 28, 30, 32, 41, 65], "std_logic_1164": [30, 46, 63, 65], "std_logic_vector": [13, 28, 30, 32, 39, 59, 63, 65], "std_match": 65, "std_pass_ctx": 31, "std_pass_msg": 31, "std_ulog": [30, 32, 46], "std_ulogic_vector": 46, "stderr": 59, "stdout": 55, "stdout_file_nam": 55, "steer": 24, "step": [1, 8, 9, 10, 11, 14, 17, 21, 23, 25, 26, 27, 36, 38, 39, 41, 55, 56, 59], "stick": [7, 40], "still": [7, 15, 16, 17, 18, 21, 24, 25, 26, 27, 32, 33, 38, 39, 41, 50, 51, 53, 55, 56, 59, 64], "stimuli": [13, 25, 26, 41, 51, 60], "stimuli_gener": 60, "stjernberg": 61, "stockholm": [25, 59], "stojanov": 23, "stop": [13, 26, 32, 38, 41, 56, 59, 62], "stop_level": 60, "store": [16, 17, 18, 38, 39, 48, 57], "stori": 18, "str": [13, 17, 30, 58, 59], "str2num": 17, "straightforward": [18, 28, 33, 37], "strang": [17, 25], "strategi": [7, 24, 26, 41, 60], "strcat": 17, "stream": [7, 19, 27, 39, 50, 59, 64], "stream_all_done_eo": 27, "stream_master_pkg": 65, "stream_master_t": 65, "stream_pop_msg": 65, "stream_push_msg": 65, "stream_reference_t": 65, "stream_slave_pkg": 65, "stream_slave_t": 65, "strength": 32, "strict": [59, 63], "strike": 34, "string": [7, 13, 18, 19, 26, 28, 30, 31, 32, 39, 40, 41, 44, 45, 46, 47, 55, 56, 57, 58, 59, 60, 62, 63, 65], "string_op": [7, 13, 30, 31, 50, 55], "string_ptr": [44, 47, 59], "string_ptr_pkg": [30, 31, 46, 55, 63], "string_ptr_pool_pkg": 31, "string_ptr_t": [31, 46, 63], "string_seed_t": 60, "stringifi": 48, "strip": [26, 41, 59], "strive": [11, 18, 25], "strongli": [1, 24, 36, 40], "struct": 58, "structur": [7, 13, 16, 19, 27, 28, 48, 50, 58, 60, 62], "stuck": [26, 41], "student": [7, 25], "studi": [23, 25], "studio": [14, 21, 22, 61], "stuff": 9, "style": [7, 24, 26, 34, 41, 55, 64], "subdir": [58, 59], "subdirectori": [8, 59], "subject": [18, 40], "submodul": [0, 24, 29, 50, 53, 59, 64], "subprocess": 59, "subprogram": [7, 16, 26, 32, 39, 41, 58, 59, 60], "subscrib": [7, 18], "subscript": 39, "subsect": 48, "subsequ": [7, 26, 28, 32, 41, 55, 58], "subset": [1, 13, 44, 47, 58], "substanti": 0, "substitut": 7, "subtl": 15, "subtract": 32, "subtre": [19, 55], "subtyp": [26, 31, 41, 55, 63], "succe": 40, "success": [27, 38, 40, 62], "successfulli": 58, "suffici": [26, 28, 41, 60], "suffix": [38, 40, 58, 59], "suggest": [4, 26, 36, 37, 40, 41, 59], "suit": [0, 24, 27, 40, 60, 61, 62], "suitabl": [18, 36, 39, 55], "sum": [17, 39], "sum_msg": 39, "summari": [13, 24, 27, 38, 40, 60], "summer": 9, "super": [26, 41], "support": [1, 3, 6, 7, 8, 9, 10, 11, 13, 16, 17, 18, 19, 20, 21, 24, 25, 26, 27, 29, 32, 33, 35, 36, 38, 39, 40, 41, 47, 48, 50, 51, 52, 53, 55, 57, 58, 59, 60, 62, 64], "supports_coverag": 59, "supports_vhdl_call_path": 59, "suppress": 60, "sure": [21, 24, 25, 39, 40], "surfer": [56, 59], "surviv": 21, "suspicion": [26, 41], "sv": [50, 62], "svg": [23, 36], "svh": 62, "svunit": 2, "sw": 55, "sweden": 25, "swedish": [0, 54], "switch": [0, 6, 9, 16], "sy": [53, 59], "sylog": 25, "symbol": [7, 38, 51], "sync": 65, "sync_handle_t": 65, "sync_pkg": [39, 65], "synchron": [7, 16, 26, 32, 41, 59, 60, 64], "synect": [7, 61], "syntact": 14, "syntax": [16, 32], "syntaxerror": 32, "synthesi": [26, 41], "synthwork": [0, 54], "system": [7, 15, 18, 19, 24, 25, 26, 27, 33, 34, 37, 38, 39, 41, 55, 59, 60, 61, 64], "system0": 55, "systemverilog": [0, 21, 24, 40, 52, 57, 58, 59, 61], "s\u0142awomir": 0, "t": [0, 1, 6, 7, 8, 9, 10, 11, 13, 14, 16, 17, 18, 19, 21, 23, 25, 26, 27, 32, 33, 37, 38, 39, 41, 51, 52, 53, 55, 59, 60, 62], "t_stop_d08f48d859442d0bc71e2bcdd8b429119f7cc17c": 60, "tabl": 0, "tag": [25, 40, 51, 55, 59], "tailor": 21, "take": [0, 7, 9, 11, 14, 15, 16, 17, 18, 21, 23, 24, 25, 26, 27, 32, 36, 37, 39, 41, 51, 56, 57, 58, 59, 62], "taken": [7, 13, 16, 32, 51], "talk": [2, 7, 11, 18, 64, 65], "tallest": 7, "tape": 7, "tarbal": [35, 37, 53], "target": [7, 13, 24, 26, 39, 41], "task": [0, 1, 23, 26, 27, 32, 36, 39, 41, 60], "tb": [13, 16, 19, 28, 55, 60, 62], "tb_": 62, "tb_axi_stream": 48, "tb_cfg": 13, "tb_cfg_t": 13, "tb_composite_gener": 13, "tb_dut": 51, "tb_dut2": 51, "tb_event": [26, 41], "tb_exampl": [38, 57, 62], "tb_example_mani": [38, 57, 62], "tb_fail_on_warn": 60, "tb_foo": 1, "tb_lib": [7, 13], "tb_magic_path": 60, "tb_minim": 60, "tb_octav": 17, "tb_path": [59, 60, 62], "tb_phase": 27, "tb_run_all_in_same_sim": 60, "tb_running_test_cas": 60, "tb_seed": 60, "tb_selecting_dut_with_gener": 28, "tb_selecting_dut_with_vhdl_configur": 28, "tb_selecting_test_runner_with_vhdl_configur": 28, "tb_standalon": 60, "tb_stop_level": 60, "tb_stopping_failur": 60, "tb_tradit": [26, 41], "tb_with_lower_level_control": 60, "tb_with_test_cas": 60, "tb_with_watchdog": 60, "tbd": 41, "tcl": [38, 56, 59], "tdata": 59, "tdd": 37, "tdest": 59, "team": [7, 17, 19, 25, 34], "teamwork": 7, "technic": [9, 18, 24, 33], "techniqu": [26, 41], "technologi": [23, 25, 33], "teckensnitt": [0, 54], "ted": 7, "tell": [7, 39, 56], "templat": [1, 26, 37, 41], "temporari": [32, 39, 59], "tempt": 27, "temptat": 27, "ten": [7, 11], "tend": [1, 13, 16], "tendenc": 25, "tens": 40, "term": [0, 21, 40, 52, 54, 58], "termin": [7, 26, 27, 36, 38, 41, 62], "test": [0, 1, 2, 3, 7, 9, 10, 11, 12, 13, 16, 17, 18, 19, 22, 23, 24, 25, 26, 27, 29, 32, 34, 36, 37, 39, 41, 44, 47, 50, 53, 55, 56, 57, 59, 61, 63, 64], "test_1": 13, "test_bench": [28, 57, 58, 59], "test_cas": [57, 62], "test_case_cleanup": 62, "test_case_nam": 28, "test_case_setup": 62, "test_control": 60, "test_fail": [38, 62], "test_fixtur": 28, "test_name_to_path_map": 38, "test_output": [34, 38, 60], "test_output_path": 58, "test_pass": [38, 62], "test_reset": 28, "test_reset_architectur": 28, "test_reset_behavior": 28, "test_reset_behavioral_16": 28, "test_reset_behavioral_8": 28, "test_reset_rtl": 28, "test_reset_rtl_16": 28, "test_reset_rtl_8": 28, "test_runn": [17, 26, 27, 28, 41, 60], "test_runner_cleanup": [16, 17, 19, 26, 27, 28, 32, 41, 55, 60, 62], "test_runner_inst": 28, "test_runner_setup": [16, 17, 19, 26, 27, 28, 32, 41, 60, 62], "test_runner_watchdog": [26, 28, 41, 60], "test_sequenc": 18, "test_something_else_27dcc1aa8d44993b6b2d0b0a017fa6001b4c2aa7": 60, "test_something_else_e47dc199cab8c612d9a0f46b8be7d141576fc970": 60, "test_state_chang": 28, "test_state_change_behavioral_16": 28, "test_state_change_behavioral_8": 28, "test_state_change_rtl_16": 28, "test_state_change_rtl_8": 28, "test_suit": [27, 28, 57, 58, 60, 62], "test_suite_cleanup": 62, "test_suite_setup": 62, "test_that_a_warning_passes_7db91f3b27aea5f89e74e39ea51ce6d61558674": 60, "test_that_crashes_on_boundary_problems_b53105615efefaa16d0cf9ee1bad37b5d3369e95": 60, "test_that_fails_0f173e63967af845f06d4a86c622bba76f3ffb3d": 60, "test_that_fails_multiple_times_but_doesn": 60, "test_that_fails_on_an_assert_f53b930e2c7649bc33253af52f8ea89a9c05f07b": 60, "test_that_fails_on_vunit_check_procedure_717a6f8ff044e3d5fa7d7d3ec5a32971d74864dd": 60, "test_that_needs_longer_timeout_5494104827c61d0022a75acbab4c0c6de9e29643": 60, "test_that_passes_4125d67fe52dadd934f892b1209f41e7a94a39bd": 60, "test_that_stalls_7f50c5908f9e9f9df5075e065f984eef1c2f7b2b": 60, "test_to_string_for_boolean_38c3f897030cff968430d763a9bbc23202de1a7b": 60, "test_to_string_for_boolean_f167e524924d51144c5a6913c63e9fa5c6c7988c": 60, "test_to_string_for_integer_f5d39e15e865eddcda2b57f65dddc2428c994af4": 60, "testbench": [0, 1, 9, 10, 11, 12, 13, 14, 16, 23, 26, 27, 28, 29, 32, 36, 39, 41, 50, 51, 55, 57, 59, 62, 64], "testcas": 0, "testresult": [57, 58], "testrunn": 59, "testsuit": 40, "text": [18, 26, 38, 41, 55], "textbook": 21, "textio": [30, 45, 55], "textual": 38, "th": 55, "than": [7, 9, 10, 11, 13, 14, 15, 16, 17, 18, 23, 24, 25, 26, 27, 28, 32, 37, 38, 39, 40, 41, 51, 55, 58, 59, 60, 62], "thank": [0, 20, 23, 34, 61], "thei": [7, 9, 11, 12, 13, 16, 17, 21, 23, 25, 26, 27, 28, 32, 37, 39, 40, 41, 48, 51, 55, 56, 57, 59, 60, 62, 63], "them": [7, 11, 15, 16, 17, 18, 21, 26, 27, 33, 40, 41, 62], "theme": 59, "themselv": 25, "therebi": [18, 27, 55], "therefor": [26, 27, 32, 40, 41, 53, 56, 57], "thereof": 1, "thi": [0, 1, 2, 3, 4, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 32, 33, 34, 36, 37, 38, 39, 40, 41, 44, 47, 48, 50, 51, 53, 55, 56, 58, 59, 60, 62, 64, 65], "thing": [7, 8, 17, 18, 24, 39, 58, 62], "think": [2, 7, 9, 18, 21, 25, 27, 39, 55, 60], "third": [0, 9, 19, 21, 27, 32, 39, 51, 55, 59, 60], "those": [0, 18, 23, 24, 26, 27, 33, 36, 39, 41, 48, 53], "though": [7, 9, 21, 24, 25, 39], "thousand": [0, 11, 61], "thread": [1, 3, 16, 18, 38, 59, 60], "three": [0, 7, 12, 17, 18, 21, 27, 28, 32, 34, 38, 40, 53, 60, 62], "three_step_flow": [56, 59], "threshold": 55, "through": [0, 13, 16, 23, 25, 27, 33, 36, 37, 38, 39, 44, 47, 50, 52, 56, 57, 60], "throw": [7, 39], "thu": [7, 28, 32, 37, 62], "tick": 27, "ticket": 40, "tid": 59, "tie": 32, "time": [0, 1, 2, 7, 10, 11, 13, 16, 17, 18, 19, 21, 22, 24, 25, 26, 27, 28, 30, 32, 35, 36, 38, 39, 40, 41, 44, 46, 47, 51, 55, 58, 59, 60, 62, 64, 65], "timeout": [26, 32, 41, 59, 60, 62, 65], "timer": 59, "timescal": 59, "timestamp": [32, 59], "timing_check": 55, "tini": 13, "tiny_tb_cfg": 13, "titl": 17, "tkeep": 59, "tlast": 27, "to_address": 65, "to_check": 31, "to_hstr": 51, "to_integ": [31, 39, 55], "to_logg": 55, "to_std_logic_vector": 39, "to_str": [17, 31, 32, 39, 51, 60], "to_vc_interfac": 63, "toast": 7, "toctre": 59, "todai": [4, 5, 9, 22, 24], "todo": 30, "togeth": [13, 19, 25, 60, 61], "toggl": [26, 41], "token": [36, 59], "tom": 7, "toml": 59, "ton": 13, "too": [23, 32, 59, 62], "took": 25, "tool": [1, 2, 11, 14, 21, 24, 25, 33, 34, 36, 38, 40, 58, 59], "toolchain": 59, "top": [0, 7, 21, 24, 27, 28, 29, 32, 37, 38, 48, 50, 56, 58, 59, 60, 62, 64], "topic": [23, 25, 26, 28], "total": [13, 26, 38, 40, 41, 55, 60], "touch": [9, 26, 36, 41], "toward": [10, 14, 26, 41], "towncrier": [40, 59], "tox": 59, "trace": [0, 16, 19, 26, 27, 32, 41, 55, 57, 59], "traceback": 53, "track": [25, 27, 32, 40], "tractabl": 18, "tradit": [0, 37, 52], "tradition": 24, "traffic": [0, 25, 39, 54], "trail": [51, 59], "train": 7, "transact": [1, 7, 18, 26, 39, 41, 50, 51, 63, 64], "transcript": 57, "transfer": [7, 16, 27, 59], "transform": [26, 41], "transit": 16, "translat": 63, "translate_off": [26, 41], "translate_on": [26, 41], "transport": 7, "transportstyrelsen": [0, 54], "tratex": [0, 54, 59], "travers": [27, 51], "travi": [11, 33, 59], "tree": [19, 55, 59], "trend": [21, 23, 25], "tri": 38, "triangl": 7, "triangular": 7, "trick": [13, 17], "trigger": [7, 19, 23, 26, 27, 32, 36, 40, 41, 55, 60], "trigger_ev": [30, 32], "trigger_event_t": [30, 32], "trivial": 34, "true": [7, 9, 13, 17, 26, 30, 39, 41, 45, 51, 55, 56, 57, 58, 59, 60, 63], "truli": [1, 25], "truncat": 55, "trust": [23, 36, 60], "try": [7, 9, 13, 60, 62], "tstrb": 59, "tune": 27, "turn": [26, 41], "tuser": 59, "tutori": 25, "tweet": 21, "twenti": 7, "twice": [32, 59], "twitter": 4, "two": [7, 9, 13, 16, 17, 18, 21, 27, 28, 32, 33, 39, 40, 44, 47, 51, 57, 60, 62, 64], "tx": [19, 59], "txt": [35, 38, 59, 60], "type": [0, 1, 7, 13, 15, 16, 17, 18, 19, 24, 30, 31, 40, 44, 45, 46, 48, 50, 51, 55, 57, 58, 59, 60, 63, 64, 65], "typefac": [0, 54], "typenam": 40, "types_pkg": [42, 43, 63], "typescript": 36, "typic": [1, 7, 13, 19, 21, 24, 28, 34, 35, 39, 40, 48, 60, 62, 64], "typo": [21, 59], "u": [1, 16, 19, 25, 26, 27, 28, 32, 33, 38, 40, 41, 53, 55, 59, 61], "uart": [19, 40, 55, 59, 64], "uart0": 55, "uart_tx": 40, "ubuntu": [23, 35, 36, 37], "ui": [26, 32, 38, 41, 55, 57, 59, 60], "ultim": 18, "umarcor": 0, "unai": 0, "unalign": 59, "unblock": [26, 41], "uncheck": 55, "unclock": 32, "uncondition": 55, "unconnect": 59, "und": 25, "undef": 59, "undefin": 58, "undefineal": 59, "undeni": 25, "under": [0, 16, 18, 23, 26, 27, 28, 36, 38, 39, 41, 52, 54, 60, 62, 63], "underli": [16, 33], "understand": [7, 26, 27, 32, 39, 41, 51], "understood": 25, "underwai": 28, "undetect": 32, "uneven": 38, "unexpect": 59, "unexpected_msg_typ": 39, "unfortun": [13, 27, 38], "unhandl": 32, "unhandled_check_id_t": 31, "uniform": 60, "uniqu": [7, 17, 18, 19, 23, 27, 38, 39, 44, 47, 56, 57, 59, 60], "unisim": 58, "unit": [0, 1, 3, 39, 40, 52, 55, 59, 61, 62], "unittest": 40, "univers": [21, 25], "unknown": 28, "unless": [7, 26, 32, 39, 40, 41, 55, 62], "unlik": [25, 33], "unlock": [27, 60], "unmock": [19, 55], "unnam": [57, 59, 62], "unnecessari": [26, 41], "unprocess": 39, "unrecogn": 39, "unsafe_pop": 46, "unsafe_push": 46, "unset": 55, "unset_children": 55, "unset_stop_count": 55, "unsign": [30, 32, 39, 46, 59, 60], "unsuit": 38, "unsupport": 38, "unsur": 59, "until": [0, 3, 7, 10, 13, 17, 18, 26, 27, 39, 41, 55, 59, 60, 65], "unus": 59, "unwant": [55, 63], "unwieldi": [26, 41], "unwis": 27, "up": [0, 1, 3, 7, 9, 11, 16, 18, 21, 23, 24, 25, 26, 27, 28, 36, 38, 39, 41, 47, 59, 60, 61, 62], "upcom": [9, 15, 16, 21, 59, 61], "updat": [4, 7, 8, 15, 16, 18, 19, 22, 26, 27, 28, 29, 32, 38, 39, 40, 41, 53, 58, 59], "update_checker_stat": 31, "update_test_pattern": [57, 58], "upload": [40, 59], "upon": [27, 39, 51], "upper": 55, "upper_limit": 45, "url": 4, "us": [0, 1, 3, 5, 6, 7, 9, 10, 11, 13, 14, 15, 16, 17, 18, 19, 21, 23, 24, 25, 26, 27, 29, 30, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 54, 55, 56, 57, 58, 59, 60, 62, 63, 64, 65], "usabl": [32, 59], "usag": [0, 33, 48, 62], "use_color": 55, "use_external_log": [55, 58], "user": [0, 1, 7, 9, 10, 11, 13, 16, 18, 19, 21, 23, 24, 27, 29, 33, 35, 36, 37, 38, 40, 50, 51, 56, 57, 58, 59, 61], "user_guid": [48, 59, 62], "usernam": 59, "usual": [15, 23, 27, 32, 35, 40], "util": [0, 50, 58, 59, 62], "uvm": [21, 25, 29], "uvvm": 24, "uvvm_util": 24, "v": [0, 13, 24, 33, 38, 40, 42, 43, 52, 54, 55, 60], "v0": [23, 36, 59], "v1": 59, "v2": [23, 36], "v3": 59, "v4": [0, 40], "v5": 58, "vagrant": 33, "val": 57, "valid": [38, 39, 55, 56, 59], "valu": [7, 13, 17, 18, 21, 26, 28, 29, 31, 38, 39, 40, 41, 44, 45, 46, 47, 48, 51, 55, 56, 57, 58, 59, 60, 62, 63, 65], "valuabl": [25, 34, 63], "vanilla": 18, "var": 38, "vari": 60, "variabl": [7, 13, 16, 17, 28, 30, 31, 32, 39, 45, 46, 51, 53, 55, 56, 58, 59, 60, 65], "variant": [28, 32, 33, 55, 59], "varieti": [16, 62], "variou": [0, 7, 16, 21, 24, 26, 28, 40, 41, 55, 59], "vc": [0, 16, 19, 27, 50, 51, 59, 63, 64, 65], "vc_logger": [16, 19], "vc_x": 51, "vc_x_id": 51, "vc_y": 51, "vc_y_id": 51, "vcd": [38, 59], "vci": [59, 64], "vcl": 50, "vcom": [56, 59], "vcom_flag": [55, 56], "ve": [4, 7, 9, 11, 16, 17, 18, 19, 21, 24, 26, 27, 32, 39, 41, 55, 60], "vector": [7, 13, 17, 32, 44, 47, 50], "vendor": [9, 15, 25, 38, 48, 61], "verbos": [16, 32, 37, 38, 39, 50, 55], "veri": [6, 7, 9, 14, 16, 18, 19, 23, 24, 25, 26, 34, 41, 55, 60], "verif": [0, 7, 16, 21, 22, 23, 27, 29, 40, 51, 55, 58, 59, 61, 63, 65], "verifi": [7, 9, 11, 13, 17, 18, 19, 25, 26, 27, 28, 32, 39, 41, 48, 50, 53, 55, 59, 60, 61, 63], "verification_compon": [16, 40, 48], "verification_component_i": 51, "verification_component_logg": 16, "verification_component_x": 51, "verifik": 25, "verify_encrypted_messag": 7, "verify_encrypted_sent": 7, "verilog": [48, 53, 56, 58, 59, 62], "verilog_am": 59, "versa": 8, "version": [3, 8, 11, 16, 19, 21, 32, 35, 38, 40, 44, 47, 50, 59, 64], "vga": 13, "vga_tb_cfg": 13, "vhd": [17, 24, 26, 32, 38, 40, 41, 48, 55, 58, 59, 60, 62], "vhdl": [0, 1, 3, 9, 10, 13, 14, 15, 17, 18, 21, 23, 24, 25, 26, 29, 32, 33, 38, 39, 41, 44, 45, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61], "vhdl_assert_stop_level": 56, "vhdl_configuration_nam": [28, 58], "vhdl_standard": [57, 58, 59], "vhpidirect": [0, 59], "via": [17, 26, 32, 41, 48, 53, 55, 57, 59, 60, 64], "vice": 8, "video": [9, 10, 11, 22, 25], "view": [21, 22, 24, 25, 26, 28, 33, 38, 41, 51, 53, 59], "viewer": [38, 56, 59], "viewer_arg": 38, "viewer_script": [56, 59], "violat": [60, 63], "virtual": [23, 34, 36, 40], "virtualbox": 33, "visibilti": 19, "visibl": [0, 7, 16, 17, 19, 27, 32, 53, 59], "visit": 25, "visual": [7, 14, 17, 27, 38, 59], "vital": 58, "vitalii": 61, "vivado": 59, "vlog": 56, "vlog_flag": 56, "vm": 37, "vmware": 33, "vo": 59, "voic": 9, "volum": 33, "vopt": [56, 59], "vopt_flag": [56, 59], "vr": 60, "vsim": [38, 56, 59], "vsim_extra_arg": [38, 59], "vsim_flag": 56, "vtool": 23, "vu": [26, 41, 44, 47, 57, 58, 62], "vunit": [2, 4, 6, 7, 8, 13, 28, 29, 32, 33, 34, 35, 38, 39, 40, 44, 47, 48, 50, 51, 54, 55, 56, 57, 59, 61, 62, 63, 64], "vunit_": [38, 59], "vunit_act": [23, 36, 37], "vunit_cli": [57, 58, 59], "vunit_compil": [38, 59], "vunit_context": [13, 39, 48, 60, 62], "vunit_defin": 62, "vunit_error": [26, 27, 32, 41], "vunit_ghdl_path": 38, "vunit_hdl": 53, "vunit_help": [38, 59], "vunit_lib": [13, 17, 19, 26, 39, 41, 48, 51, 60, 62, 63, 65], "vunit_load": [38, 56, 59], "vunit_modelsim_ini": 38, "vunit_out": [38, 60], "vunit_pkg": 50, "vunit_repo_root": 53, "vunit_restart": [38, 56, 59], "vunit_run": [38, 56, 59], "vunit_run_script": [23, 36], "vunit_short_test_output_path": 38, "vunit_simul": [33, 38, 59], "vunit_styl": 51, "vunit_tb_nam": [56, 59], "vunit_tb_path": [56, 59], "vunit_test_output_path_margin": 38, "vunit_user_init": [38, 59], "vunit_vhdl_standard": [38, 58, 59], "vunitcli": [57, 58], "vx": 40, "w": [17, 32, 33], "wa": [1, 3, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 21, 22, 24, 25, 26, 27, 32, 38, 39, 40, 41, 44, 47, 51, 55, 58, 59, 60, 61, 63], "wai": [0, 7, 8, 16, 18, 21, 24, 25, 26, 27, 32, 38, 39, 40, 41, 51, 53, 55, 58, 60, 62], "wait": [7, 13, 15, 17, 18, 19, 26, 27, 29, 39, 41, 55, 59, 60, 64, 65], "wait_for_idl": 39, "wait_for_messag": 39, "wait_for_repli": 39, "wait_for_tim": [39, 65], "wait_for_time_msg": 65, "wait_stat": [26, 41], "wait_until_idl": [39, 59, 65], "wait_until_idle_msg": [39, 65], "wait_until_idle_reply_msg": 65, "wait_until_read_bit_equ": 65, "wait_until_read_equ": 65, "waitfor": 17, "waitstatementpreprocessor": [26, 41], "wake": 39, "want": [1, 3, 7, 9, 13, 17, 19, 23, 25, 26, 27, 32, 33, 36, 37, 39, 41, 50, 51, 55, 56, 62], "warn": [19, 32, 38, 55, 56, 59, 60, 62], "warning_if": 55, "wash": 38, "wasn": [14, 26, 41], "wast": 40, "watch": [1, 25, 62], "watchdog": [28, 59, 62], "wave": [21, 38], "waveform": [38, 53, 56, 59], "we": [1, 4, 6, 7, 8, 9, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 32, 33, 36, 39, 40, 41, 51, 55, 59, 60], "weak": [24, 32], "websit": [21, 24, 29, 40], "week": [21, 23, 25], "welcom": [2, 11, 19, 29, 40], "well": [0, 2, 7, 14, 21, 24, 25, 26, 27, 32, 38, 39, 40, 41, 48, 50, 51, 55, 57, 61, 63, 64], "were": [9, 15, 16, 17, 20, 25, 26, 27, 28, 32, 38, 39, 41, 51, 58, 60], "what": [1, 7, 9, 10, 11, 13, 15, 16, 17, 18, 21, 23, 25, 28, 29, 32, 33, 39, 41, 51, 59, 62], "whatsoev": 10, "when": [0, 2, 6, 7, 9, 11, 13, 14, 16, 17, 18, 19, 21, 23, 24, 25, 26, 27, 28, 30, 32, 33, 35, 36, 38, 39, 40, 41, 44, 47, 50, 51, 55, 56, 58, 59, 60, 62, 63], "whenev": [11, 23, 36, 39], "where": [0, 1, 7, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 21, 22, 24, 25, 26, 27, 28, 32, 33, 36, 37, 38, 39, 40, 41, 44, 47, 55, 56, 57, 59, 60, 63], "wherein": 27, "whether": [21, 26, 32, 41, 51], "which": [0, 1, 3, 4, 6, 7, 11, 13, 15, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 32, 33, 34, 36, 37, 38, 39, 40, 41, 47, 48, 50, 51, 53, 55, 57, 58, 59, 60, 62, 63, 64, 65], "while": [3, 7, 8, 17, 18, 19, 24, 25, 26, 27, 28, 29, 32, 39, 40, 41, 53, 55, 59, 60, 62, 64], "who": [0, 7, 25, 26, 29, 38, 39, 41, 58, 59], "whole": 0, "whom": 7, "why": [7, 9, 24, 25, 26, 29, 39, 41], "wick": 7, "wider": 25, "width": [28, 45], "wiggl": [1, 7, 18], "wikipedia": [0, 54], "wildcard": [58, 62], "win": 33, "window": [23, 32, 33, 35, 36, 37, 38, 40, 53, 59], "wish": 32, "wishbon": [0, 20, 59, 64], "with_attribut": 38, "within": [0, 7, 10, 13, 17, 25, 26, 27, 28, 32, 38, 41, 51, 53, 55, 57, 58, 59, 60, 62, 63], "without": [0, 1, 7, 9, 10, 16, 18, 19, 24, 26, 27, 28, 32, 33, 37, 38, 39, 41, 44, 47, 51, 55, 57, 58, 59, 60, 61, 63, 64], "without_attribut": 38, "won": [7, 32, 39, 60], "wonder": [26, 41], "word": [9, 18, 59, 63, 65], "work": [3, 6, 7, 9, 10, 11, 12, 13, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 30, 31, 32, 33, 39, 41, 42, 43, 45, 46, 48, 51, 53, 55, 56, 57, 59, 60, 62, 63, 64, 65], "workaround": [32, 59], "worker": 59, "workflow": [1, 23, 33, 36, 37], "workflow_dispatch": 59, "workload": 28, "workspac": 53, "world": [7, 16, 21, 25, 28, 38, 55, 59, 62], "worri": 62, "wors": [7, 26, 41], "would": [2, 7, 16, 17, 18, 19, 20, 24, 26, 27, 28, 32, 33, 36, 39, 40, 41, 51, 60, 62, 64], "wouldn": 39, "wrap": [9, 18, 19, 26, 41, 44, 47, 62], "writ": 50, "write": [0, 1, 13, 17, 18, 19, 26, 36, 39, 40, 41, 47, 48, 50, 51, 55, 57, 59, 60, 63, 64, 65], "write_": [44, 47], "write_bu": 65, "write_byt": 63, "write_byte_uncheck": 63, "write_char": 43, "write_data": 57, "write_integ": [42, 63], "write_mod": 55, "write_msg": [18, 19, 39], "write_onli": 63, "write_to_log": 55, "write_word": 63, "written": [34, 36, 38, 44, 47, 55, 57, 62, 63], "wrong": [9, 40], "wrote": 25, "wrt": 59, "wujec": 7, "x": [13, 17, 19, 26, 32, 38, 39, 40, 41, 45, 51, 59], "x11docker": 33, "x2010": 61, "x2015": 61, "x64": 53, "x86": [33, 53], "xfail": [40, 59], "xlabel": 17, "xlim": 17, "xml": [34, 38, 59], "xunit": [0, 34, 38, 59], "xunit_xml": 38, "y": [13, 17, 19, 32, 40, 45, 51], "yaml": [23, 36], "yard": 7, "ye": 25, "year": [1, 2, 15, 16, 19, 21, 24, 25, 59], "yellow": 55, "yet": [16, 18, 27, 32, 36, 39], "yield": [51, 55], "ylabel": 17, "ylim": 17, "yml": [23, 36, 37, 59], "you": [1, 2, 3, 4, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 28, 32, 33, 36, 39, 40, 41, 50, 53, 55, 60, 62], "your": [0, 1, 2, 3, 4, 7, 8, 9, 10, 11, 12, 14, 15, 17, 18, 21, 23, 25, 26, 27, 28, 29, 32, 36, 39, 40, 41, 48, 50, 51, 52, 53, 55, 57, 60, 62], "yourself": [3, 32, 50, 59], "youtub": [0, 4], "z": [32, 40, 45], "zero": [0, 55, 59, 60], "zipfil": [35, 37]}, "titles": ["What is VUnit?", "Short Introduction to VUnit", "Who\u2019s Using UVM (or Not) for FPGA Development, and Why?", "Free and Open Source Verification with VUnit and GHDL", "Welcome to Our New Website", "Chat with VUnit Users and Developers", "Website Updates", "Improving VHDL Testbench Design with Message Passing", "Making OSVVM a Git Submodule", "VUnit - The Best Value for Initial Effort - Part 1", "VUnit - The Best Value for Initial Effort - Part 2", "VUnit - The Best Value for Initial Effort - Part 3", "VUnit - Getting Started 1-2-3", "Enable Your Simulator to Handle Complex Top-Level Generics", "Sigasi Adds Support for VUnit Testing Framework", "VUnit 3.0 Color Logging", "VUnit 3.0 - While Waiting for VHDL-2017", "VUnit Matlab Integration", "VUnit BFMs - as Simple as Emailing", "VUnit 3.0", "VUnit Community Developed BFMs", "Sigasi Deepens Its Commitment to the VUnit Testing Framework", "Sigasi Adds Full VUnit Support", "Continuous Integration With VUnit Action in 10 Lines of Code", "FAQ What is VUnit\u2019s Relation to Other Verification Frameworks?", "VUnit User Conference", "VUnit Events", "VUnit Phases", "Improved Support for VHDL Configurations and OSVVM", "Blog", "<em>check</em> package", "<em>checker</em> package", "Check Library User Guide", "Containers and/or Virtual Machines", "Introduction", "Manual setup", "Setup/configuration scripts", "Practical use cases", "Command Line Interface", "Communication Library User Guide", "Contributing", "VUnit Events", "<em>external integer vector</em> package", "<em>external string</em> package", "<no title>", "<em>integer_array</em> package", "<em>queue</em> package", "Data Types User Guide", "Examples", "Index", "HDL Libraries", "Identity Package", "VUnit: a test framework for HDL", "Installing", "<no title>", "Logging Library User Guide", "Compilation Options", "Python Interface", "vunit.ui", "Release notes", "Run Library User Guide", "Testimonials", "User Guide", "Memory Model", "Verification Components User Guide", "Bus Master VCI"], "titleterms": {"": [2, 24], "0": [15, 16, 19, 59], "01": 59, "02": 59, "03": 59, "04": 59, "05": 59, "06": 59, "07": 59, "08": 59, "09": 59, "1": [7, 9, 12, 59], "10": [23, 59], "11": 59, "12": 59, "13": 59, "15": 59, "16": 59, "17": 59, "19": 59, "2": [7, 10, 12, 59], "20": 59, "2016": 59, "2017": [16, 59], "2018": 59, "2019": 59, "2020": 59, "2021": 59, "2023": 59, "2024": 59, "2025": 59, "21": 59, "22": 59, "23": 59, "24": 59, "25": 59, "26": 59, "27": 59, "28": 59, "29": 59, "3": [7, 11, 12, 15, 16, 19, 59], "30": 59, "31": 59, "4": [7, 59], "5": 59, "52": 59, "53": 59, "54": 59, "56": 59, "57": 59, "58": 59, "59": 59, "6": [7, 59], "60": 59, "61": 59, "62": 59, "63": 59, "64": 59, "65": 59, "66": 59, "67": 59, "68": 59, "69": 59, "7": [7, 59], "70": 59, "71": 59, "8": [7, 59], "9": [7, 59], "A": [16, 60], "Be": 18, "For": 53, "It": [17, 18], "Its": 21, "No": [18, 26, 41], "Not": [2, 32], "On": 60, "One": 32, "The": [7, 9, 10, 11, 16, 17, 60], "Will": [26, 27], "With": 23, "account": 18, "act": 32, "action": [23, 32, 36], "actor": 39, "ad": [7, 57], "add": [14, 22], "advanc": 18, "all": 17, "an": 18, "api": [39, 47, 55, 63], "approach": 16, "architectur": [32, 55], "argument": [38, 57], "arrai": 48, "attribut": [51, 57], "autom": 25, "axi": 48, "axi4": 48, "background": 16, "basic": [18, 39, 51], "bazel": 25, "bench": 62, "best": [9, 10, 11], "bfm": [18, 20], "block": 39, "blog": 29, "break": 59, "bridg": 25, "bu": 65, "bug": 59, "build": 7, "builtin": 50, "caesar": 7, "can": 18, "case": [37, 38, 60], "chang": 59, "chat": 5, "check": [30, 32, 48, 60], "check_equ": 32, "check_fals": 32, "check_impl": 32, "check_match": 32, "check_next": 32, "check_not_unknown": 32, "check_one_hot": 32, "check_rel": 32, "check_sequ": 32, "check_stabl": 32, "check_tru": 32, "check_zero_one_hot": 32, "checker": [31, 32], "ci": 37, "cigar": [26, 41], "close": [26, 41], "co": 25, "code": [16, 23, 40], "color": 15, "command": [38, 57], "commit": 21, "commun": [20, 39, 48, 50], "compil": 56, "complex": 13, "compon": [19, 39, 48, 50, 64], "composit": 48, "confer": 25, "configur": [28, 36, 57], "contain": 33, "contin": 40, "continu": 23, "contribut": 40, "control": 60, "copyright": 40, "corral": 25, "count": 60, "coverag": 40, "creat": 18, "creation": [32, 39], "credit": 0, "custom": [33, 57], "data": 47, "debug": 39, "deepen": 21, "defer": 39, "defin": [26, 41], "depend": 40, "deprec": [39, 55, 59], "design": 7, "dev1": 59, "dev2": 59, "dev3": 59, "dev4": 59, "dev5": 59, "develop": [2, 5, 20, 53], "devic": 7, "disabl": 55, "distribut": 60, "dma": 48, "docker": 37, "document": 59, "dut": 28, "eda": 25, "educ": 61, "effect": 32, "effort": [9, 10, 11], "email": 18, "enabl": 13, "encrypt": 7, "environ": 38, "equal": 32, "error": 60, "event": [26, 27, 41], "exampl": [16, 38, 40, 48, 55, 57], "exercis": [26, 41], "exist": 33, "export": 38, "extern": [42, 43, 47, 55], "fail": [32, 60], "failur": 60, "fals": 32, "faq": 24, "featur": [0, 59, 60], "final": 27, "fix": 59, "floor": 25, "floss": 33, "fool": 32, "format": [32, 55], "fpga": 2, "framework": [14, 21, 24, 52, 55], "free": 3, "from": [18, 39], "full": 22, "gate": 27, "gener": [13, 28, 48, 60, 62], "get": [0, 12], "ghdl": [3, 38], "git": 8, "github": 36, "gui": 38, "guid": [32, 39, 47, 48, 55, 60, 62, 64], "handl": 13, "handler": [39, 55], "hdl": [25, 50, 52], "hook": 57, "host": 36, "hot": 32, "hw": 25, "i": [0, 18, 24], "ident": 51, "imag": 33, "implic": [7, 32], "improv": [7, 28], "incis": 38, "independ": 60, "index": 49, "inform": 39, "initi": [9, 10, 11], "instal": 53, "instruct": 40, "integ": 42, "integer_arrai": 45, "integr": [17, 23, 40, 55], "interact": 18, "interfac": [7, 38, 39, 55, 57, 59, 64], "introduc": 7, "introduct": [1, 26, 27, 32, 34, 39, 41, 51, 55, 60, 62], "ip": 48, "irun": 38, "json": [38, 48, 50], "just": 18, "languag": [38, 53], "latest": 59, "learn": [26, 27], "length": 38, "level": [13, 55], "librari": [32, 39, 48, 50, 55, 58, 60], "librarylist": 58, "licens": 0, "like": 18, "limit": 51, "lin": 37, "line": [7, 23, 38, 57], "locat": [32, 55], "lock": 27, "log": [15, 19, 32, 39, 48, 55, 60], "log_handler_pkg": 55, "logger_pkg": 55, "machin": 33, "made": 18, "main": 0, "make": [8, 40, 60], "manag": [32, 53], "manual": 35, "martinez": 25, "master": 65, "match": 32, "matlab": 17, "memori": 63, "messag": [7, 19, 32, 39], "mikael": 25, "minim": 60, "miscellan": 59, "mock": 55, "model": 63, "modelsim": 38, "multipl": 39, "name": [38, 51], "need": 18, "new": 4, "next": [16, 32, 59], "non": 33, "note": [40, 59, 60], "number": 60, "nvc": 38, "onli": 53, "open": [3, 25, 38], "option": 56, "organ": 61, "oskar": 25, "osvvm": [8, 28, 50], "other": 24, "our": 4, "output": 38, "overview": 0, "ownership": 39, "packag": [30, 31, 33, 42, 43, 45, 46, 51, 53], "paramet": 62, "parser": 32, "part": [9, 10, 11], "pass": [7, 19, 32, 39], "path": [38, 60], "pattern": 39, "phase": 27, "platform": 53, "point": 32, "posit": 38, "post": 57, "postpon": 32, "practic": 37, "pre": [57, 59], "preprocessor": 58, "preview": 16, "print": 55, "procedur": 55, "profession": 61, "provid": [26, 41, 61], "public": [55, 59], "publish": 39, "put": 17, "python": [40, 53, 57], "questa": 38, "queue": 46, "random": [50, 60], "real": [18, 32], "receiv": [18, 39], "reinvent": 18, "relat": [24, 32, 40], "releas": [40, 59], "repli": [18, 39], "repositori": 37, "reproduc": 60, "requir": 53, "result": 58, "revis": 38, "run": [17, 40, 48, 60], "runner": [28, 36], "scan": 62, "script": [17, 36], "search": 51, "seed": 60, "select": [28, 38], "self": 36, "send": [18, 39], "sequenc": 32, "session": 38, "setup": [35, 36, 37, 39], "short": 1, "side": 32, "sigasi": [14, 21, 22], "sign": 39, "simpl": 18, "simpler": 18, "simul": [13, 25, 33, 38, 53, 55, 56, 57], "slave": 65, "solsj\u00f6": 25, "sourc": [3, 25], "sourcefil": 58, "sourcefilelist": 58, "spaghetti": 7, "special": [60, 62], "specif": 38, "stabil": 32, "stabl": 37, "standalon": 60, "start": [0, 12], "state": 39, "statist": 32, "stop": [55, 60], "stream": [48, 65], "string": [43, 51], "structur": 51, "style": 40, "submodul": [8, 40], "subscrib": 39, "summari": 7, "support": [0, 14, 22, 28], "sw": 25, "synchron": [39, 65], "system": 50, "systemverilog": [48, 53, 62], "test": [14, 21, 28, 38, 40, 48, 52, 58, 60, 62], "testbench": [7, 17, 18, 58, 60], "testimoni": 61, "third": 7, "timeout": 39, "togeth": 17, "tool": 61, "top": 13, "tower": 7, "tox": 40, "trace": 39, "transit": 27, "tree": 51, "true": 32, "two": [26, 41], "type": [26, 32, 39, 41, 47], "uart": 48, "ui": 58, "unai": 25, "uncondit": 32, "undocu": 60, "unknown": 32, "unsubscrib": 39, "updat": 6, "us": [2, 28, 37, 53], "usag": 38, "user": [5, 25, 26, 32, 39, 41, 47, 48, 55, 60, 62, 64], "util": 63, "uvm": 2, "v": 18, "valu": [9, 10, 11, 32], "variabl": 38, "vci": [39, 65], "vector": 42, "verif": [3, 19, 24, 25, 39, 48, 50, 64], "verilog": 50, "version": 53, "vhdl": [7, 16, 28, 40, 47, 48, 50, 53, 62], "virtual": 33, "visibl": 55, "vivado": 48, "vunit": [0, 1, 3, 5, 9, 10, 11, 12, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 36, 37, 41, 52, 53, 58, 60], "wait": 16, "watchdog": 60, "websit": [4, 6], "welcom": 4, "wetterholm": 25, "what": [0, 24, 26, 27, 60], "wheel": 18, "while": 16, "who": 2, "why": 2, "win": 37, "within": 18, "word": 27, "you": [26, 27], "your": 13, "zero": 32}})