@@ -192,7 +192,7 @@ class LatticeNexusPlatform(TemplatedPlatform):
192
192
-dev {{platform.device}}-{{platform.speed}}{{platform.package}}{{platform.grade}} \
193
193
-synthesis synplify
194
194
{% for file in platform.iter_files(".v", ".sv", ".vhd", ".vhdl") -%}
195
- prj_add_source {{file|tcl_escape }}
195
+ prj_add_source {{file|tcl_quote }}
196
196
{% endfor %}
197
197
prj_add_source {{name}}.v
198
198
prj_add_source {{name}}.sdc
@@ -210,19 +210,19 @@ class LatticeNexusPlatform(TemplatedPlatform):
210
210
"{{name}}.sdc" : r"""
211
211
{% for net_signal, port_signal, frequency in platform.iter_clock_constraints() -%}
212
212
{% if port_signal is not none -%}
213
- create_clock -name {{port_signal.name|tcl_escape }} -period {{1000000000/frequency}} [get_ports {{port_signal.name}}]
213
+ create_clock -name {{port_signal.name|tcl_quote }} -period {{1000000000/frequency}} [get_ports {{port_signal.name}}]
214
214
{% else -%}
215
- create_clock -name {{net_signal.name|tcl_escape }} -period {{1000000000/frequency}} [get_nets {{net_signal|hierarchy("/")}}]
215
+ create_clock -name {{net_signal.name|tcl_quote }} -period {{1000000000/frequency}} [get_nets {{net_signal|hierarchy("/")}}]
216
216
{% endif %}
217
217
{% endfor %}
218
218
{{get_override("add_constraints")|default("# (add_constraints placeholder)")}}
219
219
""" ,
220
220
# Physical PDC contraints
221
221
"{{name}}.pdc" : r"""
222
222
{% for port_name, pin_name, attrs in platform.iter_port_constraints_bits() -%}
223
- ldc_set_location -site "{{pin_name}}" [get_ports {{port_name|tcl_escape }}]
223
+ ldc_set_location -site "{{pin_name}}" [get_ports {{port_name|tcl_quote }}]
224
224
{% if attrs -%}
225
- ldc_set_port -iobuf { {%- for key, value in attrs.items() %} {{key}}={{value}}{% endfor %} } [get_ports {{port_name|tcl_escape }}]
225
+ ldc_set_port -iobuf { {%- for key, value in attrs.items() %} {{key}}={{value}}{% endfor %} } [get_ports {{port_name|tcl_quote }}]
226
226
{% endif %}
227
227
{% endfor %}
228
228
{{get_override("add_preferences")|default("# (add_preferences placeholder)")}}
0 commit comments