Skip to content

Commit 121a422

Browse files
author
Luke Valenty
committed
adding digilent arty board
1 parent 0df1e6d commit 121a422

File tree

88 files changed

+17369
-0
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

88 files changed

+17369
-0
lines changed
+8
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,8 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<!-- Product Version: Vivado v2017.4 (64-bit) -->
3+
<!-- -->
4+
<!-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -->
5+
6+
<labtools version="1" minor="0">
7+
<HWSession Dir="hw_1" File="hw.xml"/>
8+
</labtools>

Diff for: boards/Digilent-Arty/Digilent-Arty.hw/hw_1/hw.xml

+27
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,27 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<!-- Product Version: Vivado v2017.4 (64-bit) -->
3+
<!-- -->
4+
<!-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -->
5+
6+
<hwsession version="1" minor="2">
7+
<device name="xc7a35t_0" gui_info=""/>
8+
<ObjectList object_type="hw_cfgmem" gui_info="">
9+
<Object name="" gui_info="">
10+
<Properties Property="PROGRAM.BLANK_CHECK" value="0"/>
11+
<Properties Property="PROGRAM.CFG_PROGRAM" value="1"/>
12+
<Properties Property="PROGRAM.CHECKSUM" value="0"/>
13+
<Properties Property="PROGRAM.ERASE" value="1"/>
14+
<Properties Property="PROGRAM.VERIFY" value="1"/>
15+
</Object>
16+
</ObjectList>
17+
<ObjectList object_type="hw_device" gui_info="">
18+
<Object name="xc7a35t_0" gui_info="">
19+
<Properties Property="FULL_PROBES.FILE" value=""/>
20+
<Properties Property="PROBES.FILE" value=""/>
21+
<Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/bootloader.bit"/>
22+
<Properties Property="PROGRAM.HW_CFGMEM_PART" value="mt25ql128-spi-x1_x2_x4"/>
23+
<Properties Property="SLR.COUNT" value="c:/Users/lvale/AppData/Roaming/Xilinx/Vivado/1"/>
24+
</Object>
25+
</ObjectList>
26+
<probeset name="hw project" active="false"/>
27+
</hwsession>
Original file line numberDiff line numberDiff line change
@@ -0,0 +1 @@
1+
The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,77 @@
1+
2+
//
3+
// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
4+
//
5+
// This file contains confidential and proprietary information
6+
// of Xilinx, Inc. and is protected under U.S. and
7+
// international copyright and other intellectual property
8+
// laws.
9+
//
10+
// DISCLAIMER
11+
// This disclaimer is not a license and does not grant any
12+
// rights to the materials distributed herewith. Except as
13+
// otherwise provided in a valid license issued to you by
14+
// Xilinx, and to the maximum extent permitted by applicable
15+
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16+
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17+
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18+
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19+
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20+
// (2) Xilinx shall not be liable (whether in contract or tort,
21+
// including negligence, or under any other theory of
22+
// liability) for any loss or damage of any kind or nature
23+
// related to, arising under or in connection with these
24+
// materials, including for any direct, or any indirect,
25+
// special, incidental, or consequential loss or damage
26+
// (including loss of data, profits, goodwill, or any type of
27+
// loss or damage suffered as a result of any action brought
28+
// by a third party) even if such damage or loss was
29+
// reasonably foreseeable or Xilinx had been advised of the
30+
// possibility of the same.
31+
//
32+
// CRITICAL APPLICATIONS
33+
// Xilinx products are not designed or intended to be fail-
34+
// safe, or for use in any application requiring fail-safe
35+
// performance, such as life-support or safety devices or
36+
// systems, Class III medical devices, nuclear facilities,
37+
// applications related to the deployment of airbags, or any
38+
// other applications that could lead to death, personal
39+
// injury, or severe property or environmental damage
40+
// (individually and collectively, "Critical
41+
// Applications"). Customer assumes the sole risk and
42+
// liability of any use of Xilinx products in Critical
43+
// Applications, subject only to applicable laws and
44+
// regulations governing limitations on product liability.
45+
//
46+
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47+
// PART OF THIS FILE AT ALL TIMES.
48+
//
49+
//----------------------------------------------------------------------------
50+
// User entered comments
51+
//----------------------------------------------------------------------------
52+
// None
53+
//
54+
//----------------------------------------------------------------------------
55+
// Output Output Phase Duty Cycle Pk-to-Pk Phase
56+
// Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
57+
//----------------------------------------------------------------------------
58+
// clk_48mhz____48.000______0.000______50.0______281.382____301.601
59+
//
60+
//----------------------------------------------------------------------------
61+
// Input Clock Freq (MHz) Input Jitter (UI)
62+
//----------------------------------------------------------------------------
63+
// __primary_________100.000____________0.010
64+
65+
// The following must be inserted into your Verilog file for this
66+
// core to be instantiated. Change the instance name and port connections
67+
// (in parentheses) to your own signal names.
68+
69+
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
70+
71+
clk_wiz_0 instance_name
72+
(
73+
// Clock out ports
74+
.clk_48mhz(clk_48mhz), // output clk_48mhz
75+
// Clock in ports
76+
.clk_100mhz(clk_100mhz)); // input clk_100mhz
77+
// INST_TAG_END ------ End INSTANTIATION Template ---------
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,20 @@
1+
// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2+
// --------------------------------------------------------------------------------
3+
// Tool Version: Vivado v.2017.4 (win64) Build 2086221 Fri Dec 15 20:55:39 MST 2017
4+
// Date : Sun Apr 22 19:10:08 2018
5+
// Host : DESKTOP-V34NFE6 running 64-bit major release (build 9200)
6+
// Command : write_verilog -force -mode synth_stub
7+
// c:/Users/lvale/Documents/TinyFPGA/repos/TinyFPGA-Bootloader/boards/Digilent-Arty/Digilent-Arty.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
8+
// Design : clk_wiz_0
9+
// Purpose : Stub declaration of top-level module interface
10+
// Device : xc7a35tcsg324-3
11+
// --------------------------------------------------------------------------------
12+
13+
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
14+
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
15+
// Please paste the declaration into a Verilog source file or add the file as an additional source.
16+
module clk_wiz_0(clk_48mhz, clk_100mhz)
17+
/* synthesis syn_black_box black_box_pad_pin="clk_48mhz,clk_100mhz" */;
18+
output clk_48mhz;
19+
input clk_100mhz;
20+
endmodule
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,29 @@
1+
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2+
-- --------------------------------------------------------------------------------
3+
-- Tool Version: Vivado v.2017.4 (win64) Build 2086221 Fri Dec 15 20:55:39 MST 2017
4+
-- Date : Sun Apr 22 19:10:08 2018
5+
-- Host : DESKTOP-V34NFE6 running 64-bit major release (build 9200)
6+
-- Command : write_vhdl -force -mode synth_stub
7+
-- c:/Users/lvale/Documents/TinyFPGA/repos/TinyFPGA-Bootloader/boards/Digilent-Arty/Digilent-Arty.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
8+
-- Design : clk_wiz_0
9+
-- Purpose : Stub declaration of top-level module interface
10+
-- Device : xc7a35tcsg324-3
11+
-- --------------------------------------------------------------------------------
12+
library IEEE;
13+
use IEEE.STD_LOGIC_1164.ALL;
14+
15+
entity clk_wiz_0 is
16+
Port (
17+
clk_48mhz : out STD_LOGIC;
18+
clk_100mhz : in STD_LOGIC
19+
);
20+
21+
end clk_wiz_0;
22+
23+
architecture stub of clk_wiz_0 is
24+
attribute syn_black_box : boolean;
25+
attribute black_box_pad_pin : string;
26+
attribute syn_black_box of stub : architecture is true;
27+
attribute black_box_pad_pin of stub : architecture is "clk_48mhz,clk_100mhz";
28+
begin
29+
end;

0 commit comments

Comments
 (0)