Skip to content

Commit 10feef1

Browse files
committed
Merge branch 'cabal_ver_fix' into 'devel'
Cabal ver fix See merge request ndk/ndk-fpga!211
2 parents b30d896 + dcfcf0c commit 10feef1

File tree

10 files changed

+36
-32
lines changed

10 files changed

+36
-32
lines changed

comp/mfb_tools/logic/crossbarx_stream/crossbarx_stream.vhd

Lines changed: 1 addition & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -695,8 +695,7 @@ begin
695695

696696
-- psl assert_length :
697697
-- assert forall i in {0 to MFB_REGIONS-1}:
698-
-- always (pacp_rx_pkt_vld(0)(i) = '1' and
699-
-- (to_integer(unsigned(pacp_rx_pkt_len_mod3(0)(i))) + GAP_SIZE_MIN + MFB_BLOCK_SIZE-1) >= MFB_REGION_SIZE*MFB_BLOCK_SIZE) @rising_edge(RX_CLK)
698+
-- always (pacp_rx_pkt_vld(0)(i) = '0') or (pacp_rx_pkt_vld(0)(i) = '1' and (to_integer(unsigned(pacp_rx_pkt_len_mod3(0)(i))) + GAP_SIZE_MIN + MFB_BLOCK_SIZE-1) >= MFB_REGION_SIZE*MFB_BLOCK_SIZE) abort (RX_RESET) @rising_edge(RX_CLK)
700699
-- report "Packet's length + minimal gap size (+ packet alignment) is too small: to_string(pkt_len_with_gap), must be at least to_string(MFB_REGION_SIZE*MFB_BLOCK_SIZE)";
701700

702701

comp/nic/mac_lite/rx_mac_lite/comp/crc_check/crc_check.vhd

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -115,7 +115,7 @@ begin
115115
RX_EOF_POS => RX_EOF_POS,
116116
RX_SOF => RX_SOF,
117117
RX_EOF => RX_EOF,
118-
RX_ADAPTER_ERR => (others => '0'),
118+
RX_METADATA => (others => '0'),
119119
RX_SRC_RDY => RX_SRC_RDY,
120120

121121
TX_DATA => cut_data,
@@ -124,7 +124,7 @@ begin
124124
TX_SOF => cut_sof,
125125
TX_EOF => cut_eof,
126126
TX_SRC_RDY => cut_src_rdy,
127-
TX_ADAPTER_ERR => open,
127+
TX_METADATA => open,
128128
TX_CRC_CUT_ERR => open
129129
);
130130
end generate;

comp/nic/mac_lite/rx_mac_lite/top/umii/ver/tbench/test.sv

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -126,6 +126,8 @@ program TEST (
126126
mi32_tr.rw = 1;
127127
mi32_tr.be = '1;
128128

129+
#(10*MI_CLK_PERIOD);
130+
129131
// set MAC address to CAM memory
130132
for (int i = 0; i < MAC_COUNT; i++) begin
131133
// Send low 32 bits
@@ -537,9 +539,9 @@ program TEST (
537539

538540
task test1();
539541
$write("\n\n############ TEST CASE 1 ############\n\n");
540-
//resetDesign();
541-
initConfig();
542542
enableTestEnvironment();
543+
resetDesign();
544+
initConfig();
543545
generator.setEnabled(TRANSACTION_COUNT);
544546
wait(!generator.enabled);
545547
disableTestEnvironment();
@@ -549,7 +551,6 @@ program TEST (
549551

550552
task test2();
551553
$write("\n\n############ TEST CASE 2 ############\n\n");
552-
//resetDesign();
553554
mfb_responder.wordDelayEnable_wt = 1;
554555
mfb_responder.wordDelayDisable_wt = 1;
555556
mfb_responder.wordDelayLow = 1;
@@ -558,8 +559,8 @@ program TEST (
558559
mvb_responder.wordDelayDisable_wt = 1;
559560
mvb_responder.wordDelayLow = 1;
560561
mvb_responder.wordDelayHigh = 9;
561-
initConfig();
562562
enableTestEnvironment();
563+
initConfig();
563564
generator.setEnabled(TRANSACTION_COUNT);
564565
wait(!generator.enabled);
565566
disableTestEnvironment();
@@ -568,7 +569,6 @@ program TEST (
568569
endtask
569570

570571
initial begin
571-
resetDesign();
572572
createGeneratorEnvironment(FRAME_SIZE_MAX, FRAME_SIZE_MIN, MAC_COUNT);
573573
createEnvironment();
574574
test1();

comp/nic/mac_lite/rx_mac_lite/top/umii/ver/tbench/testbench.sv

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -10,11 +10,11 @@ module testbench;
1010

1111
logic ASYNC_RESET;
1212
logic RX_CLK = 0;
13-
logic RX_RESET;
13+
logic RX_RESET = 1;
1414
logic TX_CLK = 0;
15-
logic TX_RESET;
15+
logic TX_RESET = 1;
1616
logic MI_CLK = 0;
17-
logic MI_RESET;
17+
logic MI_RESET = 1;
1818

1919
iMiiRx #(MII_DATA_WIDTH) RX_MII (RX_CLK, RX_RESET);
2020
iMfbTx #(TX_REGIONS,TX_REGION_SIZE,TX_BLOCK_SIZE,TX_ITEM_WIDTH) TX_MFB (TX_CLK, TX_RESET);

comp/nic/mac_lite/rx_mac_lite/ver/tbench/dut.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -46,7 +46,7 @@ module DUT (
4646
.RX_MFB_EOF_POS (RX_MFB.EOF_POS),
4747
.RX_MFB_SOF (RX_MFB.SOF),
4848
.RX_MFB_EOF (RX_MFB.EOF),
49-
.RX_MFB_ERROR (RX_MFB.META),
49+
.RX_MFB_CRC_ERR (RX_MFB.META),
5050
.RX_MFB_SRC_RDY (RX_MFB.SRC_RDY),
5151

5252
.ADAPTER_LINK_UP (1'b1),

comp/nic/mac_lite/rx_mac_lite/ver/tbench/test.sv

Lines changed: 6 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -139,6 +139,8 @@ program TEST (
139139
mi32_tr.rw = 1;
140140
mi32_tr.be = '1;
141141

142+
#(10*MI_CLK_PERIOD);
143+
142144
// set MAC address to CAM memory
143145
for (int i = 0; i < MAC_COUNT; i++) begin
144146
// Send low 32 bits
@@ -550,9 +552,9 @@ program TEST (
550552

551553
task test1();
552554
$write("\n\n############ TEST CASE 1 ############\n\n");
553-
//resetDesign();
554-
initConfig();
555555
enableTestEnvironment();
556+
resetDesign();
557+
initConfig();
556558
generator.setEnabled(TRANSACTION_COUNT);
557559
wait(!generator.enabled);
558560
disableTestEnvironment();
@@ -562,7 +564,6 @@ program TEST (
562564

563565
task test2();
564566
$write("\n\n############ TEST CASE 2 ############\n\n");
565-
//resetDesign();
566567
mfb_responder.wordDelayEnable_wt = 3;
567568
mfb_responder.wordDelayDisable_wt = 2;
568569
mfb_responder.wordDelayLow = 5;
@@ -571,8 +572,9 @@ program TEST (
571572
mvb_responder.wordDelayDisable_wt = 1;
572573
mvb_responder.wordDelayLow = 5;
573574
mvb_responder.wordDelayHigh = 20;
574-
initConfig();
575575
enableTestEnvironment();
576+
//resetDesign();
577+
initConfig();
576578
generator.setEnabled(TRANSACTION_COUNT);
577579
wait(!generator.enabled);
578580
disableTestEnvironment();
@@ -581,7 +583,6 @@ program TEST (
581583
endtask
582584

583585
initial begin
584-
resetDesign();
585586
createGeneratorEnvironment(FRAME_SIZE_MAX, FRAME_SIZE_MIN, MAC_COUNT);
586587
createEnvironment();
587588
test1();

comp/nic/mac_lite/rx_mac_lite/ver/tbench/testbench.sv

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -10,11 +10,11 @@ module testbench;
1010

1111
logic ASYNC_RESET;
1212
logic RX_CLK = 0;
13-
logic RX_RESET;
13+
logic RX_RESET = 1;
1414
logic TX_CLK = 0;
15-
logic TX_RESET;
15+
logic TX_RESET = 1;
1616
logic MI_CLK = 0;
17-
logic MI_RESET;
17+
logic MI_RESET = 1;
1818

1919
iMfbRx #(RX_REGIONS,RX_REGION_SIZE,RX_BLOCK_SIZE,RX_ITEM_WIDTH) RX_MFB (RX_CLK, RX_RESET);
2020
iMfbTx #(TX_REGIONS,TX_REGION_SIZE,TX_BLOCK_SIZE,TX_ITEM_WIDTH) TX_MFB (TX_CLK, TX_RESET);

comp/nic/mac_lite/tx_mac_lite/comp/crc_insert/crc_insert.vhd

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -186,7 +186,7 @@ begin
186186
shake_vld <= not shake_vld_n;
187187

188188
-- psl assert_sync_crc :
189-
-- assert always ((countones(reg0_mfb_eof) > countones(shake_vld)) or (not (ci_mfb_src_rdy = '1' and ci_mfb_dst_rdy = '1'))) abort (RESET) @rising_edge(CLK)
189+
-- assert always ((countones(reg0_mfb_eof) <= countones(shake_vld)) or (not (ci_mfb_src_rdy = '1' and ci_mfb_dst_rdy = '1'))) abort (RESET) @rising_edge(CLK)
190190
-- report "TX_MAC_LITE_CRC_INSERT: CRC32 out of sync!";
191191

192192

comp/nic/mac_lite/tx_mac_lite/top/umii/ver/tbench/test.sv

Lines changed: 7 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -14,11 +14,11 @@ import crc32_ethernet_pkg::*;
1414
program TEST (
1515
input logic RX_CLK,
1616
input logic RX_CLK_X2,
17-
output logic RX_RESET,
17+
output logic RX_RESET=1,
1818
input logic TX_CLK,
19-
output logic TX_RESET,
19+
output logic TX_RESET=1,
2020
input logic MI_CLK,
21-
output logic MI_RESET,
21+
output logic MI_RESET=1,
2222
iMfbRx.tb RX,
2323
iMiiTx.tb TX,
2424
iMi32.tb MI32
@@ -93,6 +93,8 @@ program TEST (
9393
mi32Transaction = new();
9494
mi32Driver = new("Mi32 Driver", null, MI32);
9595

96+
#(10*MI_CLK_PERIOD);
97+
9698
// Disable OBUF
9799
mi32Transaction.rw = 1;
98100
mi32Transaction.be = '1;
@@ -219,8 +221,9 @@ program TEST (
219221

220222
task test1();
221223
$write("\n\n############ TEST CASE 1 ############\n\n");
222-
initObuf();
223224
enableTestEnvironment();
225+
resetDesign();
226+
initObuf();
224227
generator.setEnabled(TRANSACTION_COUNT);
225228
wait(!generator.enabled);
226229
disableTestEnvironment();
@@ -230,7 +233,6 @@ program TEST (
230233
endtask
231234

232235
initial begin
233-
resetDesign();
234236
createGeneratorEnvironment(FRAME_SIZE_MAX, FRAME_SIZE_MIN);
235237
createEnvironment();
236238
test1();

comp/nic/mac_lite/tx_mac_lite/ver/tbench/test.sv

Lines changed: 7 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -13,11 +13,11 @@ import crc32_ethernet_pkg::*;
1313
program TEST (
1414
input logic RX_CLK,
1515
input logic RX_CLK_X2,
16-
output logic RX_RESET,
16+
output logic RX_RESET=1,
1717
input logic TX_CLK,
18-
output logic TX_RESET,
18+
output logic TX_RESET=1,
1919
input logic MI_CLK,
20-
output logic MI_RESET,
20+
output logic MI_RESET=1,
2121
iMfbRx.tb RX,
2222
iMfbTx.tb TX,
2323
iMfbTx.monitor MONITOR,
@@ -97,6 +97,8 @@ program TEST (
9797
mi32Transaction = new();
9898
mi32Driver = new("Mi32 Driver", null, MI32);
9999

100+
#(10*MI_CLK_PERIOD);
101+
100102
// Disable OBUF
101103
mi32Transaction.rw = 1;
102104
mi32Transaction.be = '1;
@@ -223,8 +225,9 @@ program TEST (
223225

224226
task test1();
225227
$write("\n\n############ TEST CASE 1 ############\n\n");
226-
initObuf();
227228
enableTestEnvironment();
229+
resetDesign();
230+
initObuf();
228231
generator.setEnabled(TRANSACTION_COUNT);
229232
wait(!generator.enabled);
230233
disableTestEnvironment();
@@ -234,7 +237,6 @@ program TEST (
234237
endtask
235238

236239
initial begin
237-
resetDesign();
238240
createGeneratorEnvironment(FRAME_SIZE_MAX, FRAME_SIZE_MIN);
239241
createEnvironment();
240242
test1();

0 commit comments

Comments
 (0)